Skip navigation links
A B C D E F G H I J K L M N O P Q R S T U V W X Y Z _ 

A

abbr() - Method in enum com.xilinx.rapidwright.timing.delayestimator.InterconnectInfo.NodeGroupType
 
AbstractBlockPlacer<ModuleInstT extends AbstractModuleInst<?,?,?>,PlacementT> - Class in com.xilinx.rapidwright.placer.blockplacer
Base class of block placers.
AbstractBlockPlacer() - Constructor for class com.xilinx.rapidwright.placer.blockplacer.AbstractBlockPlacer
 
AbstractDesignMerger - Class in com.xilinx.rapidwright.design.merge
Provides a standardized set of APIs to coordinate design merging.
AbstractDesignMerger() - Constructor for class com.xilinx.rapidwright.design.merge.AbstractDesignMerger
 
AbstractEDIFParserWorker - Class in com.xilinx.rapidwright.edif
 
AbstractEDIFParserWorker(Path, InputStream, StringPool, int, EDIFReadLegalNameCache) - Constructor for class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
AbstractEDIFParserWorker(Path, InputStream, StringPool, EDIFReadLegalNameCache) - Constructor for class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
AbstractEDIFParserWorker(Path, StringPool, EDIFReadLegalNameCache) - Constructor for class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
AbstractModuleInst<ModuleT,PlacementT,T extends AbstractModuleInst<ModuleT,PlacementT,T>> - Class in com.xilinx.rapidwright.design
Abstract base class for ModuleInst and ModuleImplsInst
AbstractModuleInst(String, EDIFCellInst) - Constructor for class com.xilinx.rapidwright.design.AbstractModuleInst
 
AbstractModuleInst(String) - Constructor for class com.xilinx.rapidwright.design.AbstractModuleInst
 
AbstractOverlapCache<PlacementT,ModuleInstT extends AbstractModuleInst<?,PlacementT,? super ModuleInstT>> - Class in com.xilinx.rapidwright.placer.blockplacer
Manages overlap detection inside a Block Placer.
AbstractOverlapCache() - Constructor for class com.xilinx.rapidwright.placer.blockplacer.AbstractOverlapCache
 
AbstractPath<PortT,ModuleInstT extends AbstractModuleInst<?,?,?>> - Class in com.xilinx.rapidwright.placer.blockplacer
A connection (net) between ports of some kind of module instances
AbstractPath() - Constructor for class com.xilinx.rapidwright.placer.blockplacer.AbstractPath
 
AbstractRouter - Class in com.xilinx.rapidwright.router
A common class to serve as the place for common router-related methods.
AbstractRouter() - Constructor for class com.xilinx.rapidwright.router.AbstractRouter
 
AbstractValidPlacementCache<PlacementT> - Class in com.xilinx.rapidwright.placer.blockplacer
Manages possible placements of a single module.
AbstractValidPlacementCache() - Constructor for class com.xilinx.rapidwright.placer.blockplacer.AbstractValidPlacementCache
 
adapt(Callable<T>) - Static method in class com.xilinx.rapidwright.util.ParallelismTools
Adapt a task-with-return value into a RunnableFuture object that implements the Future interface to be executed by the current thread (as opposed to submitting it to thread pool queue).
add(Block) - Method in class com.xilinx.rapidwright.bitstream.ConfigRow
Adds the provided block to the end of this row of blocks
add(Module) - Method in class com.xilinx.rapidwright.design.ModuleImpls
 
add(E) - Method in class com.xilinx.rapidwright.device.helper.HashPool
Adds the object to the pool if an identical copy doesn't already exist.
add(EDIFPortInst) - Method in class com.xilinx.rapidwright.edif.EDIFPortInstList
Inserts the port inst into the list such that the list remains sorted.
add(Node, IntentCode) - Method in class com.xilinx.rapidwright.timing.TimingGroup
Used for adding a node into a TimingGroup.
add(PIP) - Method in class com.xilinx.rapidwright.timing.TimingGroup
Used for adding a PIP into a TimingGroup.
addAll(int, Collection<? extends Module>) - Method in class com.xilinx.rapidwright.design.ModuleImpls
 
addAll(Collection<? extends Module>) - Method in class com.xilinx.rapidwright.design.ModuleImpls
 
addAltPinMapping(String, AltPinMapping) - Method in class com.xilinx.rapidwright.design.Cell
Adds an alternate pin mapping for the provided physical pin.
addAltSinkRnode(RouteNode) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
addBELAttr(Net, Site, SiteTypeEnum, BEL, String, String) - Method in class com.xilinx.rapidwright.design.Design
Adds a BEL attribute on the net provided
addBELAttribute(BEL, String, String, Net) - Method in class com.xilinx.rapidwright.design.SiteConfig
 
addBlock(BlockGuide) - Method in class com.xilinx.rapidwright.design.blocks.ImplGuide
Adds a block to this implementation guide
addBlock(ModuleInstT, PlacementT) - Method in class com.xilinx.rapidwright.placer.blockplacer.Move2
 
addBlock(ModuleInstT) - Method in class com.xilinx.rapidwright.placer.blockplacer.Move2
 
addBlockInst(BlockInst) - Method in class com.xilinx.rapidwright.design.blocks.BlockGuide
 
addBranch(RouteBranchNode) - Method in class com.xilinx.rapidwright.interchange.RouteBranchNode
 
addCell(Cell) - Method in class com.xilinx.rapidwright.design.Design
Adds the cell to the design.
addCell(Cell) - Method in class com.xilinx.rapidwright.design.Module
Adds a cell to the module
addCell(Cell) - Method in class com.xilinx.rapidwright.design.SiteInst
Adds a cell to the instance.
addCell(EDIFCell) - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
Adds the provided cell to the library.
addCellInst(EDIFCellInst) - Method in class com.xilinx.rapidwright.edif.EDIFCell
Adds the instance to this cell.
addCellInstUniqueName(EDIFCellInst) - Method in class com.xilinx.rapidwright.edif.EDIFCell
Ensures that the provided cell instance is added to this cell by renaming it to something unique.
addCellRenameDuplicates(EDIFCell, String) - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
Adds the provided cell to the library.
addChild(RuntimeTracker) - Method in class com.xilinx.rapidwright.util.RuntimeTracker
Adds a child runtime tracker.
addChildren(Collection<EDIFHierCellInst>) - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
Add this instance's children to some collection
addClkNet(Net) - Method in class com.xilinx.rapidwright.rwroute.RWRoute
Adds a clock net to the clock net routing targets.
addClock(String, Float) - Method in class com.xilinx.rapidwright.design.blocks.BlockGuide
 
addClock(String, float) - Method in class com.xilinx.rapidwright.design.Module
Adds a new clock to the module by name and period constraint
addClockBuffer(String, Site) - Method in class com.xilinx.rapidwright.design.blocks.BlockGuide
 
addComment(String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
addConfigRow(ConfigRow) - Method in class com.xilinx.rapidwright.bitstream.ConfigArray
Adds a config row to the array (at the end of the list)
addConnectedPath(Path) - Method in class com.xilinx.rapidwright.placer.blockplacer.HardMacro
 
addConnectedPortWire(PortWire) - Method in class com.xilinx.rapidwright.placer.blockplacer.HardMacro
 
addConnection(Connection) - Method in class com.xilinx.rapidwright.rwroute.NetWrapper
 
addDebugPort(EDIFNet, EDIFCell, EDIFPortInst, EDIFCellInst) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Adds the debug port to the debug core in the EDIF netlist
addDebugPortAndNet(String, EDIFCell, EDIFPortInst, EDIFCellInst) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Specialized function to add enable a debug probe connection.
addEDIFAndMetadata() - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
addEncryptedCells(List<String>) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
addImplementation(int, PBlock) - Method in class com.xilinx.rapidwright.design.blocks.BlockGuide
 
addImportsForCommandLineOption(String[]) - Static method in class com.xilinx.rapidwright.util.Jython
When invoking the '-c' option for the Jython interpreter, this method will analyze the command for RapidWright classes that need to be imported and automatically add the import statements.
addIndexingAngleBrackets(String) - Static method in class com.xilinx.rapidwright.util.StringTools
Will insert angle brackets around indexing value at the end of a String.
addInitialSourceForRouting(SitePinInst) - Method in class com.xilinx.rapidwright.router.AbstractRouter
This will add the sole source of the net to the set of sources to be used by the router.
addInst(SiteInst) - Method in class com.xilinx.rapidwright.design.Module
Adds an instance to this module.
addInst(SiteInst) - Method in class com.xilinx.rapidwright.design.ModuleInst
Adds the instance inst to the instances list that are members of the module instance.
addInstance(SiteTypeEnum, Site, String, HashSet<Map.Entry<String, String>>, List<String>) - Method in class com.xilinx.rapidwright.interchange.EnumerateCellBelMapping
 
addInternalPortMapEntry(String, EDIFNet) - Method in class com.xilinx.rapidwright.edif.EDIFCell
Populates an internal map between port-based port ref name, 'bus[3]' or 'clk'.
addJob(Job) - Method in class com.xilinx.rapidwright.util.JobQueue
 
addLibrary(EDIFLibrary) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Adds the library to this netlist.
addLine(GUIMultiNetLine) - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
 
addManuallyRoutedSite(String) - Method in class com.xilinx.rapidwright.design.Design
 
addMetax(String, EDIFPropertyValue) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
addModifiedNet(Net) - Method in class com.xilinx.rapidwright.design.Design
Adds the Net to the set of modified Nets for this design.
addModifiedSiteInst(SiteInst) - Method in class com.xilinx.rapidwright.design.Design
Adds the SiteInst to the set of modified SiteInsts for this design.
addModule(Module) - Method in class com.xilinx.rapidwright.design.Design
Adds a module to the design, including copying the module's netlist into the current design's netlist (using EDIFNetlist.copyCellAndSubCells(com.xilinx.rapidwright.edif.EDIFCell)).
addModuleImpls(ModuleImpls) - Method in class com.xilinx.rapidwright.design.Design
Adds a list of modules with different implementations (ModuleImpls) to preserve order
addModuleInstNetlist(ModuleInst, EDIFNetlist) - Method in class com.xilinx.rapidwright.design.Design
Adds the EDIF netlist guts to the existing netlist for a particular module instance.
addNet(Net) - Method in class com.xilinx.rapidwright.design.Design
Attaches a net to the design by mapping the hierarchical name to the Net object.
addNet(Net) - Method in class com.xilinx.rapidwright.design.Module
Adds a net to this module.
addNet(Net) - Method in class com.xilinx.rapidwright.design.ModuleInst
Adds the net to the net list that are members of the module instance.
addNet(EDIFNet) - Method in class com.xilinx.rapidwright.edif.EDIFCell
Adds a net to the cell.
addNet(EDIFNetlist, String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
addNet() - Method in class com.xilinx.rapidwright.placer.handplacer.GUIMultiNetLine
 
addNetDelayEdges(Net) - Method in class com.xilinx.rapidwright.timing.TimingGraph
 
addNewCellInstUniqueName(String, EDIFCell) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
addNOCClient(NOCClient) - Method in class com.xilinx.rapidwright.design.ModuleInst
 
addNodeTypeLengthToMap(Node, long, Map<IntentCode, Long>, Map<IntentCode, Long>) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Adds the IntentCode and wirelength of an used node to the map.
addObject(T) - Method in class com.xilinx.rapidwright.interchange.IdentityEnumerator
 
addObject(Long) - Method in class com.xilinx.rapidwright.interchange.LongEnumerator
 
addObject(String) - Method in class com.xilinx.rapidwright.interchange.StringEnumerator
 
addPartitionPin(PartitionPin) - Method in class com.xilinx.rapidwright.design.Design
Adds a partition pin to this design
addPassThruPortName(String) - Method in class com.xilinx.rapidwright.design.Port
 
addPBlockMode(boolean) - Method in class com.xilinx.rapidwright.gui.TileView
 
addPin(SitePinInst) - Method in class com.xilinx.rapidwright.design.Net
Adds a new pin to the net.
addPin(SitePinInst, boolean) - Method in class com.xilinx.rapidwright.design.Net
Adds a new pin to the net.
addPin(SitePinInst) - Method in class com.xilinx.rapidwright.design.SiteInst
Adds a pin to the pin map of this instance and attaches the net to the corresponding site wire.
addPin(SitePinInst, Map<ModuleInst, HardMacro>) - Method in class com.xilinx.rapidwright.placer.blockplacer.Path
Adds a pin the to path.
addPinMapping(String, String) - Method in class com.xilinx.rapidwright.design.Cell
Maps together a logical and physical pin on the cell and BEL respectively.
addPinMapping(String, String) - Method in class com.xilinx.rapidwright.timing.DSPTimingData
Adds pin mapping to the map.
addPins(List<SitePinInst>) - Method in class com.xilinx.rapidwright.design.Net
Adds a list of pins to the net.
addPIP(PIP) - Method in class com.xilinx.rapidwright.design.Net
Adds a PIP to the net.
addPIPs(Net, String[]) - Static method in class com.xilinx.rapidwright.util.CodeGenerator
 
addPort(Port) - Method in class com.xilinx.rapidwright.design.Module
Adds a port to this module.
addPort(EDIFPort) - Method in class com.xilinx.rapidwright.edif.EDIFCell
Adds a port to the cell.
addPort(ImplsInstancePort) - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsPath
 
addPortInst(EDIFPortInst) - Method in class com.xilinx.rapidwright.edif.EDIFNet
Adds the EDIFPortInst to this logical net.
addPortInst(EDIFPortInst, boolean) - Method in class com.xilinx.rapidwright.edif.EDIFNet
Adds the EDIFPortInst to this logical net.
addProhibitConstraint(Design, List<String>) - Static method in class com.xilinx.rapidwright.design.DesignTools
Adds a PROHIBIT constraint to the specified BEL Locations (ex: "SLICE_X10Y10/AFF")
addProperty(String, String, EDIFValueType) - Method in class com.xilinx.rapidwright.design.Cell
Helper method to add a property to the logical cell instance in the netlist.
addProperty(String, String) - Method in class com.xilinx.rapidwright.design.Cell
Helper method to add a string-valued property to the logical cell instance in the netlist.
addProperty(String, boolean) - Method in class com.xilinx.rapidwright.design.Cell
Helper method to add a boolean-valued property to the logical cell instance in the netlist.
addProperty(String, int) - Method in class com.xilinx.rapidwright.design.Cell
Helper method to add an int-valued property to the logical cell instance in the netlist.
addProperty(String, String, EDIFValueType) - Method in class com.xilinx.rapidwright.edif.EDIFPropertyObject
Convenience property creator.
addProperty(String, String) - Method in class com.xilinx.rapidwright.edif.EDIFPropertyObject
Convenience property creator for string types
addProperty(String, int) - Method in class com.xilinx.rapidwright.edif.EDIFPropertyObject
Convenience property creator for integers
addProperty(String, boolean) - Method in class com.xilinx.rapidwright.edif.EDIFPropertyObject
Convenience property creator for booleans
addProperty(String, EDIFPropertyValue) - Method in class com.xilinx.rapidwright.edif.EDIFPropertyObject
Adds the property entry mapping for this object.
addRnode(RouteNode) - Method in class com.xilinx.rapidwright.rwroute.Connection
Add the give RouteNode to the list of those used by this Connection.
addRunningJob(Job) - Method in class com.xilinx.rapidwright.util.JobQueue
 
addSiteInst(SiteInst) - Method in class com.xilinx.rapidwright.design.Design
Adds a site instance to the design.
addSitePinInst(SitePinInst) - Method in class com.xilinx.rapidwright.design.Port
 
addSitePIP(SitePIP) - Method in class com.xilinx.rapidwright.design.SiteInst
Turns on the provided SitePIP in this site.
addSitePIP(String, String) - Method in class com.xilinx.rapidwright.design.SiteInst
Turns on the provided SitePIP in this site.
AddSubGenerator - Class in com.xilinx.rapidwright.examples
Generates a placed and routed adder or subtractor using CLB LUTs, CARRY8s and flip flops.
AddSubGenerator() - Constructor for class com.xilinx.rapidwright.examples.AddSubGenerator
 
addSubPBlock(SubPBlock) - Method in class com.xilinx.rapidwright.design.blocks.PBlock
 
addTclLoadEncryptedCells(Path) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
addTimingPath(GraphPath<TimingVertex, TimingEdge>) - Method in class com.xilinx.rapidwright.timing.TimingGraph
Inserts a GraphPath into the TimingGraph.
addTopLevelPort(Design, String, EDIFCellInst, PinType) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Creates (or gets) a top level port by the specified name.
addTrackingChange(EDIFCell, EDIFChange) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
addValidPlacement(Site) - Method in class com.xilinx.rapidwright.design.Module
Explicitly add a valid placement for the module on the given device.
addXDCCommand(String) - Method in class com.xilinx.rapidwright.design.blocks.BlockGuide
 
addXDCConstraint(String...) - Method in class com.xilinx.rapidwright.design.Design
Adds the XDC command to the list of (Normal, see ConstraintGroup) XDC commands for this design.
addXDCConstraint(ConstraintGroup, String...) - Method in class com.xilinx.rapidwright.design.Design
Adds the XDC command(s) to the list of the provided ConstraintGroup.
agreeToContinue() - Static method in class com.xilinx.rapidwright.util.MessageGenerator
This will prompt the user to type y or n to either continue with a process or to exit.
allOk() - Method in class com.xilinx.rapidwright.util.performance_evaluation.TimingResults
 
AltPinMapping - Class in com.xilinx.rapidwright.design
Created on: Jun 21, 2017
AltPinMapping(String, String, String) - Constructor for class com.xilinx.rapidwright.design.AltPinMapping
 
AltPinMapping() - Constructor for class com.xilinx.rapidwright.design.AltPinMapping
 
ANCHOR_GREEN - Static variable in class com.xilinx.rapidwright.gui.HMTile
 
ANCHOR_ORANGE - Static variable in class com.xilinx.rapidwright.gui.HMTile
 
ANCHOR_RED - Static variable in class com.xilinx.rapidwright.gui.HMTile
 
anchorPixmap - Static variable in class com.xilinx.rapidwright.gui.HMTile
 
AND - Static variable in class com.xilinx.rapidwright.design.tools.LUTEquationEvaluator
 
AND2 - Static variable in class com.xilinx.rapidwright.design.tools.LUTEquationEvaluator
 
AND3 - Static variable in class com.xilinx.rapidwright.design.tools.LUTEquationEvaluator
 
angle(Point, Point, Point) - Static method in class com.xilinx.rapidwright.placer.blockplacer.SmallestEnclosingCircle
Computes the angle ABC from the points a, b, c.
appendExtension(Path, String) - Static method in class com.xilinx.rapidwright.util.FileTools
Appends an extension to the file.
apply(Map<String, EDIFLibrary>, Map<EDIFLibrary, Map<String, EDIFCell>>) - Method in class com.xilinx.rapidwright.edif.ParallelEDIFParserWorker.CellReferenceData
 
applyILAToDesign(Design, Design, String) - Static method in class com.xilinx.rapidwright.debug.ILAInserter
This inserts the ILA design into the original design such that it can be placed and routed on top of the original design inside Vivado.
applyRoutingResult() - Method in class com.xilinx.rapidwright.router.SATRouter
Reads the output of evRouter and applies the routing solution to the existing design.
areAllPinsConnectedToALUT(Net) - Static method in class com.xilinx.rapidwright.design.DesignTools
Determines if all the pins connected to this net connect to only LUTs
ArithmeticGenerator - Class in com.xilinx.rapidwright.examples
Serves as a parent class for AddSubGenerator and MultGenerator.
ArithmeticGenerator() - Constructor for class com.xilinx.rapidwright.examples.ArithmeticGenerator
 
ARRAY - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
ASPECT_RATIO - Variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
X dimension over Y dimension
ASPECT_RATIO_OPT - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef.Builder
 
asReader(DeviceResources.BELPinRef.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Builder
 
asReader(DeviceResources.Device.BEL.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELInverter.Builder
 
asReader(DeviceResources.Device.BELInverter.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELInverter.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELPin.Builder
 
asReader(DeviceResources.Device.BELPin.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELPin.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Builder
 
asReader(DeviceResources.Device.CellBelMapping.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelPinEntry.Builder
 
asReader(DeviceResources.Device.CellBelPinEntry.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelPinEntry.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellInversion.Builder
 
asReader(DeviceResources.Device.CellInversion.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellInversion.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellParameterDefinition.Builder
 
asReader(DeviceResources.Device.CellParameterDefinition.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellParameterDefinition.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion.Builder
 
asReader(DeviceResources.Device.CellPinInversion.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Builder
 
asReader(DeviceResources.Device.CellPinInversionParameter.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps.Builder
 
asReader(DeviceResources.Device.CommonCellBelPinMaps.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnection.Builder
 
asReader(DeviceResources.Device.Constants.DefaultCellConnection.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnection.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnections.Builder
 
asReader(DeviceResources.Device.Constants.DefaultCellConnections.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnections.Factory
 
asReader(DeviceResources.Device.Constants.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Builder
 
asReader(DeviceResources.Device.Constants.GndNetName.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.NodeConstantSource.Builder
 
asReader(DeviceResources.Device.Constants.NodeConstantSource.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.NodeConstantSource.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource.Builder
 
asReader(DeviceResources.Device.Constants.SiteConstantSource.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SitePinConstantExceptions.Builder
 
asReader(DeviceResources.Device.Constants.SitePinConstantExceptions.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SitePinConstantExceptions.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Builder
 
asReader(DeviceResources.Device.Constants.VccNetName.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Builder
 
asReader(DeviceResources.Device.Constraints.BELLocation.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Builder
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Builder
 
asReader(DeviceResources.Device.Constraints.CellConstraint.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Builder
 
asReader(DeviceResources.Device.Constraints.ConstraintLocation.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Factory
 
asReader(DeviceResources.Device.Constraints.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Builder
 
asReader(DeviceResources.Device.Constraints.RoutedTag.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Builder
 
asReader(DeviceResources.Device.Constraints.RoutedTagPin.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Builder
 
asReader(DeviceResources.Device.Constraints.RoutedTagPort.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Builder
 
asReader(DeviceResources.Device.Constraints.State.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
asReader(DeviceResources.Device.Constraints.Tag.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Builder
 
asReader(DeviceResources.Device.Constraints.TagPair.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Builder
 
asReader(DeviceResources.Device.Constraints.TagStates.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Builder
 
asReader(DeviceResources.Device.CornerModel.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Builder
 
asReader(DeviceResources.Device.CornerModel.Fast.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Builder
 
asReader(DeviceResources.Device.CornerModel.Slow.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Builder
 
asReader(DeviceResources.Device.CornerModelValues.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Builder
 
asReader(DeviceResources.Device.CornerModelValues.Max.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Builder
 
asReader(DeviceResources.Device.CornerModelValues.Min.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Builder
 
asReader(DeviceResources.Device.CornerModelValues.Typ.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Factory
 
asReader(DeviceResources.Device.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.Builder
 
asReader(DeviceResources.Device.LutDefinitions.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Builder
 
asReader(DeviceResources.Device.LutDefinitions.LutBel.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Builder
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Builder
 
asReader(DeviceResources.Device.LutDefinitions.LutCell.Equation.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Factory
 
asReader(DeviceResources.Device.LutDefinitions.LutCell.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElement.Builder
 
asReader(DeviceResources.Device.LutDefinitions.LutElement.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElement.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements.Builder
 
asReader(DeviceResources.Device.LutDefinitions.LutElements.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Node.Builder
 
asReader(DeviceResources.Device.Node.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Node.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming.Builder
 
asReader(DeviceResources.Device.NodeTiming.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Builder
 
asReader(DeviceResources.Device.Package.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Grade.Builder
 
asReader(DeviceResources.Device.Package.Grade.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Grade.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Builder
 
asReader(DeviceResources.Device.Package.PackagePin.Bel.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Builder
 
asReader(DeviceResources.Device.Package.PackagePin.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Builder
 
asReader(DeviceResources.Device.Package.PackagePin.Site.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps.Builder
 
asReader(DeviceResources.Device.ParameterCellBelPinMaps.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition.Builder
 
asReader(DeviceResources.Device.ParameterDefinition.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinitions.Builder
 
asReader(DeviceResources.Device.ParameterDefinitions.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinitions.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapEntry.Builder
 
asReader(DeviceResources.Device.ParameterMapEntry.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapEntry.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
asReader(DeviceResources.Device.ParameterMapRule.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry.Builder
 
asReader(DeviceResources.Device.ParameterSiteTypeBelEntry.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParentPins.Builder
 
asReader(DeviceResources.Device.ParentPins.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParentPins.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Builder
 
asReader(DeviceResources.Device.PinDelay.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Builder
 
asReader(DeviceResources.Device.PinsDelay.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
asReader(DeviceResources.Device.PIP.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Builder
 
asReader(DeviceResources.Device.PIPTiming.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Builder
 
asReader(DeviceResources.Device.PrimToMacroExpansion.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PseudoCell.Builder
 
asReader(DeviceResources.Device.PseudoCell.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PseudoCell.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Site.Builder
 
asReader(DeviceResources.Device.Site.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Site.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Builder
 
asReader(DeviceResources.Device.SitePin.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Builder
 
asReader(DeviceResources.Device.SitePin.Model.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP.Builder
 
asReader(DeviceResources.Device.SitePIP.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
asReader(DeviceResources.Device.SiteType.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeBelEntry.Builder
 
asReader(DeviceResources.Device.SiteTypeBelEntry.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeBelEntry.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Builder
 
asReader(DeviceResources.Device.SiteTypeInTileType.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteWire.Builder
 
asReader(DeviceResources.Device.SiteWire.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteWire.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Builder
 
asReader(DeviceResources.Device.Tile.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Builder
 
asReader(DeviceResources.Device.TileType.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Wire.Builder
 
asReader(DeviceResources.Device.Wire.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Wire.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireConstantSources.Builder
 
asReader(DeviceResources.Device.WireConstantSources.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireConstantSources.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireType.Builder
 
asReader(DeviceResources.Device.WireType.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireType.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.HashSet.Builder
 
asReader(DeviceResources.HashSet.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.HashSet.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef.Builder
 
asReader(DeviceResources.NodeTimingRef.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef.Builder
 
asReader(DeviceResources.PIPTimingRef.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef.Builder
 
asReader(DeviceResources.SiteTypeRef.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.StringRef.Builder
 
asReader(DeviceResources.StringRef.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.StringRef.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef.Builder
 
asReader(DeviceResources.TileTypeRef.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef.Builder
 
asReader(DeviceResources.WireRef.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef.Builder
 
asReader(DeviceResources.WireTypeRef.Builder) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef.Builder
 
asReader(LogicalNetlist.CellRef.Builder) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.HashSet.Builder
 
asReader(LogicalNetlist.HashSet.Builder) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.HashSet.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef.Builder
 
asReader(LogicalNetlist.InstRef.Builder) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bitstring.Builder
 
asReader(LogicalNetlist.Netlist.Bitstring.Builder) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bitstring.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
asReader() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bus.Builder
 
asReader(LogicalNetlist.Netlist.Bus.Builder) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bus.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Builder
 
asReader(LogicalNetlist.Netlist.Cell.Builder) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Builder
 
asReader(LogicalNetlist.Netlist.CellDeclaration.Builder) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Builder
 
asReader(LogicalNetlist.Netlist.CellInstance.Builder) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Factory
 
asReader(LogicalNetlist.Netlist.Builder) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Builder
 
asReader(LogicalNetlist.Netlist.Net.Builder) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Builder
 
asReader(LogicalNetlist.Netlist.Port.Builder) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Builder
 
asReader() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Builder
 
asReader(LogicalNetlist.Netlist.PortInstance.BusIdx.Builder) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Factory
 
asReader(LogicalNetlist.Netlist.PortInstance.Builder) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Builder
 
asReader() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Builder
 
asReader(LogicalNetlist.Netlist.PropertyMap.Entry.Builder) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Factory
 
asReader(LogicalNetlist.Netlist.PropertyMap.Builder) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef.Builder
 
asReader(LogicalNetlist.PortRef.Builder) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef.Builder
 
asReader(LogicalNetlist.StringRef.Builder) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.HashSet.Builder
 
asReader(PhysicalNetlist.HashSet.Builder) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.HashSet.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
asReader() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
asReader(PhysicalNetlist.PhysNetlist.CellPlacement.Builder) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Factory
 
asReader(PhysicalNetlist.PhysNetlist.Builder) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.MultiCellPinMapping.Builder
 
asReader(PhysicalNetlist.PhysNetlist.MultiCellPinMapping.Builder) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.MultiCellPinMapping.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBel.Builder
 
asReader(PhysicalNetlist.PhysNetlist.PhysBel.Builder) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBel.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBelPin.Builder
 
asReader(PhysicalNetlist.PhysNetlist.PhysBelPin.Builder) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBelPin.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysCell.Builder
 
asReader(PhysicalNetlist.PhysNetlist.PhysCell.Builder) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysCell.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Builder
 
asReader(PhysicalNetlist.PhysNetlist.PhysNet.Builder) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNode.Builder
 
asReader(PhysicalNetlist.PhysNetlist.PhysNode.Builder) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNode.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Builder
 
asReader(PhysicalNetlist.PhysNetlist.PhysPIP.Builder) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePin.Builder
 
asReader(PhysicalNetlist.PhysNetlist.PhysSitePin.Builder) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePin.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Builder
 
asReader(PhysicalNetlist.PhysNetlist.PhysSitePIP.Builder) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Builder
 
asReader(PhysicalNetlist.PhysNetlist.PinMapping.Builder) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Property.Builder
 
asReader(PhysicalNetlist.PhysNetlist.Property.Builder) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Property.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.Builder
 
asReader(PhysicalNetlist.PhysNetlist.RouteBranch.Builder) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder
 
asReader(PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.SiteInstance.Builder
 
asReader(PhysicalNetlist.PhysNetlist.SiteInstance.Builder) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.SiteInstance.Factory
 
asReader() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef.Builder
 
asReader(PhysicalNetlist.StringRef.Builder) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef.Factory
 
averageChildren() - Method in class com.xilinx.rapidwright.rwroute.RouteNodeGraph
 
AVOID_NULL_COLUMN_COUNT - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
await() - Method in class com.xilinx.rapidwright.util.CountUpDownLatch
 
awaitPreserve() - Method in class com.xilinx.rapidwright.rwroute.RouteNodeGraph
 
AWS_F1 - Static variable in class com.xilinx.rapidwright.device.Device
The device used in the Amazon F1 Instance

B

b_81048c9d2a1084e5 - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_844f2256240b4117 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_8503877b362e7759 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_85f9eb7b03512d61 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_86b4e1068d8abada - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_87c9d7c3d0639c8b - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_883e08341dca3990 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_88aa4e0c2f6e028c - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_898b9b1cd371bc2c - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_8a02641a41287131 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_8b1b89a570dca60d - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_8cd6aad2ae70a893 - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_8d14cf795384ca4b - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_8ff6d7ce6725fc21 - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_900a370ddc2fbe15 - Static variable in class com.xilinx.rapidwright.interchange.References.Schemas
 
b_901a42e38a6bf91d - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_90b17aa5b84c66ef - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_9136b5d44c8a3445 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_9285cac596a6bd07 - Static variable in class com.xilinx.rapidwright.interchange.References.Schemas
 
b_92bff2862c077906 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_933d2f79f195b31e - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_938d70a292310732 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_9645b0b16679d151 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_99de7c18c40ca186 - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_99f437f74d975d45 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_9a65108b52c6eaed - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_9aae005de55c7a4c - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_9bbb1fc47d97e0b3 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_9c2d93e04cfa4ca7 - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_9e4dab2b9833c9a7 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_9f8b1db7705dc45b - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_9fa352f590c02b1a - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_a05b1872487659c6 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_a0ac9ff06acacc09 - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_a0b99767cf62f5f6 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_a1a1aa36fb2caefa - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_a1f2b40cd83e61da - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_a21c701a5b5b31d7 - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_a244a17dc0cf8ddb - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_a41b33a422768adb - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_a8788af96fe62735 - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_a8a9bd7e9cc1a3a4 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_a8b3223f180dcbd3 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_a8c60449af8ad096 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_a9e0311b631b2f4d - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_aa2f42f1fd8c6f56 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_ab91cae6ebb5cfa8 - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_aba131b7aa3363af - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_abb5f1656e4ba5cc - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_ac2b62c93af1c8e8 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_ad0f7fe5de02e081 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_ad1f4608184d46ab - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_ad951a871b67c8bd - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_af660749366d59d5 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_b09d1c80eebea3cd - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_b22d57c083519077 - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_b2700fad50494562 - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_b478411cbbbb4d5c - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_b49e2f9bb7f59da2 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_b528a4e2e79d0464 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_b5f5737ef498dfd3 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_b8d7b4e9185c7735 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_ba4bfb957945c405 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_baabe42da161ec1c - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_bb789fd3bdf57009 - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_bbedaf90ca934ac9 - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_bd0000eca69e6144 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_bd5b42f5c77a55df - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_bf84c64902b1b278 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_c10e1f074e7137d2 - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_c39a1b68d7a0549d - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_c3f069fe23e67786 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_c3fe4bca819dadd3 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_c4e918712809f3d6 - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_c5e869e4a0bcd128 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_c628455c50530f40 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_c62857fbbda36438 - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_c6b97af047c5d120 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_c764f202646c3d04 - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_c8bd7533ae1db2ff - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_c99f38ba31009943 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_c9a368a127fcf3ec - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_cae0d90415632179 - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_cb979d178994e708 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_cba8e0da63fbe025 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_cd56c6bc7ed80775 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_cd5b634e7fddea73 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_cd7266c85c4962a1 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_cd81e36d06dde41d - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_cd9725848fcbc71d - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_cebd5deb07a1e8d0 - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_d1d288a7a4b43755 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_d215f9e48951933d - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_d2de7409362f1d00 - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_d40b4e68bcd8d145 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_d448d243006d04b3 - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_d5387ebf3583de10 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_d5c073f0cfa35210 - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_d7a01ed41a326ba3 - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_d8861e69646073e0 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_db81c501a8f0f705 - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_de4c91cd1982219e - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_de99aebdbcf60fff - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_dffd65117e9f8280 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_e0e8bff66f5abb7f - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_e154fd548a6576da - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_e21901f504bc717e - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_e2d8f70cc95c539d - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_e3f5925f38774d73 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_e47e4296fd6bbdb1 - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_e51459a76fc8efd5 - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_e5685b353ea35cdc - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_e581c0d2fb651175 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_e6303441bc30e80d - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_e648ee49c7422b35 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_e6f9d664271c5211 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_e8b83930f5358d4f - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_e8b8f98170aab35c - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_e8d985dbd8b6b94f - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_e9ed764e2445caf6 - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_eac27974f7cf128a - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_eae03458512bf6e2 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_eb33ec79cf6126b0 - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_eba6c5d39899d844 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_ec1a6256b93f23b2 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_ee019313d1ec4831 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_ee66c701622b12e9 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_eeb1841569aa0587 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_eee3857a1d631d24 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_ef2ee5ca8eaab043 - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_f0d175f76ec0c4bd - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_f56e21c778d6b2b0 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_f5e87659c5d1baaa - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_f6cba9543dd42a03 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_f802e332e61c3669 - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_f90b60714406d6f9 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_f93c9a1db544006e - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
b_fa10639436a3129d - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_fa44cf7e3e1f7efc - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_fb1ec6427c3b7926 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_fb4181e1b198f811 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_fca960714a85d74c - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_fd2ba5c82a218126 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_fdcd6fd7c67e53f1 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_fe08a36900b7aef1 - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
b_ff33d859ad536739 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_ffa75a3a3e5ace96 - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
b_ffb3379db6b5d8c1 - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
batchRemoveSitePins(Map<Net, Set<SitePinInst>>, boolean) - Static method in class com.xilinx.rapidwright.design.DesignTools
Remove a batch of site pins from nets for efficiency purposes.
bbRectangleString() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
BC_DEBUG - Static variable in class com.xilinx.rapidwright.ipi.BlockCreator
 
BEL - Class in com.xilinx.rapidwright.device
This class represents the canonical representation of a BEL (Basic Element of Logic), or a RBEL (Routing BEL), or a site port.
BEL() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL
 
Bel() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel
 
BELAttr - Class in com.xilinx.rapidwright.design
Stores a BEL attribute with the associated net.
BELAttr(String, String, Net) - Constructor for class com.xilinx.rapidwright.design.BELAttr
 
BELClass - Enum in com.xilinx.rapidwright.device
Differentiates different types of BELs (Basic Elements of Logic).
BELInverter() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELInverter
 
BELLocation() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation
 
BELPin - Class in com.xilinx.rapidwright.device
Represents a pin on an element, including a site port where this pin corresponds to a site pin.
BELPin() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELPin
 
BELPin.Direction - Enum in com.xilinx.rapidwright.device
BEL Pin directions
BELPinCache - Class in com.xilinx.rapidwright.interchange
Class for caching BELPin lookups, given a SiteInst object, and string indices for the BEL and BELPin names.
BELPinCache(Map<BELPinCache.Key, BELPin>, List<String>) - Constructor for class com.xilinx.rapidwright.interchange.BELPinCache
 
BELPinRef() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef
 
belTypeSitePinNameMapping - Static variable in class com.xilinx.rapidwright.design.DesignTools
Mapping from device Series to another mapping from FF BEL name to CKEN/SRST site pin name
benchmarkDCPvsInterchange(Path, Path, Path) - Static method in class com.xilinx.rapidwright.interchange.Interchange
 
BINARY_CHECK_LENGTH - Static variable in class com.xilinx.rapidwright.util.FileTools
 
BinaryEDIFReader - Class in com.xilinx.rapidwright.edif
A Reader for the RapidWright Binary EDIF Format Provides a binary alternative to textual EDIF that is ~10-15X smaller and loads 5-10X faster.
BinaryEDIFReader() - Constructor for class com.xilinx.rapidwright.edif.BinaryEDIFReader
 
BinaryEDIFWriter - Class in com.xilinx.rapidwright.edif
A Writer for the RapidWright Binary EDIF Format Provides a binary alternative to textual EDIF that is ~10-15X smaller and loads 5-10X faster.
BinaryEDIFWriter() - Constructor for class com.xilinx.rapidwright.edif.BinaryEDIFWriter
 
bitBlast(String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Bit blasts the shorthand bus name (ex: "data[0:2]" --> ["data0", "data1", "data2"])
bitBlastBus(String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
BitLocation - Class in com.xilinx.rapidwright.bitstream
Stores the unique information to identify a configuration bit location.
BitLocation(int, int) - Constructor for class com.xilinx.rapidwright.bitstream.BitLocation
Constructs a new bit location - an object that will uniquely identify a bit within a configuration block.
BitLocation(int, int, boolean) - Constructor for class com.xilinx.rapidwright.bitstream.BitLocation
Constructs a new bit location - an object that will uniquely identify a bit within a configuration block.
bitRange(String, String, String, int, int) - Static method in class com.xilinx.rapidwright.interchange.MacroParamRule
 
BITS_PER_CLE - Static variable in class com.xilinx.rapidwright.examples.ArithmeticGenerator
 
BITS_PER_CLE - Static variable in class com.xilinx.rapidwright.examples.PipelineGenerator
 
BITS_PER_CLE - Static variable in class com.xilinx.rapidwright.examples.PipelineGeneratorWithRouting
 
Bitstream - Class in com.xilinx.rapidwright.bitstream
Represents a parsed bitstream into header and packets.
Bitstream(String, String) - Constructor for class com.xilinx.rapidwright.bitstream.Bitstream
Creates an empty bitstream with the design and part name information added to the header.
BitstreamHeader - Class in com.xilinx.rapidwright.bitstream
Represents the header of a bitstream file (.bit).
Bitstring() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bitstring
 
BLACK_BOX_PROP - Static variable in class com.xilinx.rapidwright.edif.EDIFCellInst
 
BLACK_BOX_PROP_VERSAL - Static variable in class com.xilinx.rapidwright.edif.EDIFCellInst
 
BlackboxPopulator - Class in com.xilinx.rapidwright.util
Fill some black boxes of a given design with a specific Module (DCP) implementation.
BlackboxPopulator() - Constructor for class com.xilinx.rapidwright.util.BlackboxPopulator
 
Block - Class in com.xilinx.rapidwright.bitstream
Represents a configuration block in the configuration array of the device.
Block(int) - Constructor for class com.xilinx.rapidwright.bitstream.Block
Creates a new config block initialized with the provided address
Block(Block) - Constructor for class com.xilinx.rapidwright.bitstream.Block
Copy constructor used as a convenience to duplicate a block.
BLOCK - Static variable in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
BLOCK_CACHE_PATH - Static variable in class com.xilinx.rapidwright.ipi.BlockCreator
 
BLOCK_NAME - Static variable in class com.xilinx.rapidwright.ipi.BlockStitcher
 
BlockCreator - Class in com.xilinx.rapidwright.ipi
Manages pre-implemented block creation.
BlockCreator() - Constructor for class com.xilinx.rapidwright.ipi.BlockCreator
 
BlockExitSecurityManager - Class in com.xilinx.rapidwright.util
A custom SecurityManager to enable catching System.exit() calls and throwing an exception instead.
BlockExitSecurityManager() - Constructor for class com.xilinx.rapidwright.util.BlockExitSecurityManager
 
BlockGuide - Class in com.xilinx.rapidwright.design.blocks
An object that captures pre-implemented block creation guidance from an implementation guide file.
BlockGuide() - Constructor for class com.xilinx.rapidwright.design.blocks.BlockGuide
 
BlockInst - Class in com.xilinx.rapidwright.design.blocks
A pre-implemented block creation instance.
BlockInst() - Constructor for class com.xilinx.rapidwright.design.blocks.BlockInst
 
BlockPlacer - Class in com.xilinx.rapidwright.placer.blockplacer
Initial attempt at a pre-implemented module placer.
BlockPlacer() - Constructor for class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer
Empty Constructor
BlockPlacer(int, long, double, double, boolean) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer
New Constructor
BlockPlacer2<ModuleT,ModuleInstT extends AbstractModuleInst<ModuleT,?,?>,PlacementT,PathT extends AbstractPath<?,ModuleInstT>> - Class in com.xilinx.rapidwright.placer.blockplacer
An alternate implementation of BlockPlacer.
BlockPlacer2(Design, boolean, Path, boolean, float, boolean, TileRectangle) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
 
BlockPlacer2(Design, boolean, Path, boolean, float, boolean, TileRectangle, Map<ModuleInstT, Site>) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
 
BlockPlacer2Impls - Class in com.xilinx.rapidwright.placer.blockplacer
 
BlockPlacer2Impls(Design, List<ModuleImplsInst>, boolean, Path, boolean, float, boolean, TileRectangle, AbstractOverlapCache<ModulePlacement, ModuleImplsInst>) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2Impls
 
BlockPlacer2Impls(Design, List<ModuleImplsInst>, boolean, Path, boolean, float, boolean, TileRectangle) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2Impls
 
BlockPlacer2Impls(Design, List<ModuleImplsInst>) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2Impls
 
BlockPlacer2Module - Class in com.xilinx.rapidwright.placer.blockplacer
 
BlockPlacer2Module(Design, boolean, Path, boolean, float, boolean, TileRectangle) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2Module
 
BlockPlacer2Module(Design) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2Module
 
BlockScene - Class in com.xilinx.rapidwright.design.blocks
WIP.
BlockScene() - Constructor for class com.xilinx.rapidwright.design.blocks.BlockScene
 
BlockStitcher - Class in com.xilinx.rapidwright.ipi
Main flow for processing and stitching pre-implemented modules together from IP Integrator-based designs.
BlockStitcher() - Constructor for class com.xilinx.rapidwright.ipi.BlockStitcher
 
BlockSubType - Class in com.xilinx.rapidwright.bitstream
Captures the details associated with configuration blocks.
BlockSubType(Series, int) - Constructor for class com.xilinx.rapidwright.bitstream.BlockSubType
 
blockSystemExitCalls() - Static method in class com.xilinx.rapidwright.util.BlockExitSecurityManager
Blocks System.exit() calls from exiting the JVM and instead throws a SecurityException in its place.
blockSystemExitCalls() - Static method in class com.xilinx.rapidwright.util.FileTools
For Java 16 and below, calling this method will prevent System.exit() calls from exiting the JVM and instead throws a SecurityException in its place.
BlockType - Enum in com.xilinx.rapidwright.bitstream
Enumeration of the configuration block types as specified in the Frame Address Register fields.
BlockUpdater - Class in com.xilinx.rapidwright.ipi
 
BlockUpdater() - Constructor for class com.xilinx.rapidwright.ipi.BlockUpdater
 
BlockView - Class in com.xilinx.rapidwright.design.blocks
WIP.
BlockView(QGraphicsScene) - Constructor for class com.xilinx.rapidwright.design.blocks.BlockView
 
BOT_HALF - Static variable in class com.xilinx.rapidwright.bitstream.FAR
The encoding in the FAR for an address to be specified in the bottom half of the device (Series 7 only)
BOUNCE_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
bramTypes - Static variable in class com.xilinx.rapidwright.util.Utils
 
briefError(String) - Static method in class com.xilinx.rapidwright.util.MessageGenerator
Used as a general way to create an error message and send it to std.err.
briefMessage(String) - Static method in class com.xilinx.rapidwright.util.MessageGenerator
Used as a general way to create a message and send it to std.out.
BrowseDevice - Class in com.xilinx.rapidwright.util
This class is a simple method to browse device information by tile.
BrowseDevice() - Constructor for class com.xilinx.rapidwright.util.BrowseDevice
 
BufferTapTool - Class in com.xilinx.rapidwright.util.rwroute
A helper class to read and set clock buffer tap levels.
BufferTapTool() - Constructor for class com.xilinx.rapidwright.util.rwroute.BufferTapTool
 
build(boolean, Collection<Net>) - Method in class com.xilinx.rapidwright.timing.TimingGraph
Builds the TimingGraph based on analyzing nets within a Design object.
build() - Method in class com.xilinx.rapidwright.timing.TimingModel
This performs the initialization of the timing model.
BUILD_GRAPH_PATHS_DEFAULT_PARAM - Static variable in class com.xilinx.rapidwright.timing.TimingManager
 
BUILD_ROUTING_GRAPH_ON_EXPORT - Static variable in class com.xilinx.rapidwright.interchange.PhysNetlistWriter
By default, routing for physical nets will be written as a list of (connected) trees of routing resources.
buildGraphPaths() - Method in class com.xilinx.rapidwright.timing.TimingGraph
Builds and returns a set of GraphPaths.
buildOperatorTree(String[], Design, EDIFPortInst[]) - Static method in class com.xilinx.rapidwright.examples.PolynomialGenerator
 
buildSuperGraphPaths() - Method in class com.xilinx.rapidwright.timing.TimingGraph
Connects the sources and sinks of timing paths to a superSource and a superSink, respectively
Bus() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bus
 
BUS_WIDTH_AUTO_DETECT1 - Static variable in class com.xilinx.rapidwright.bitstream.BitstreamHeader
 
BUS_WIDTH_AUTO_DETECT1 - Static variable in class com.xilinx.rapidwright.bitstream.Packet
The first bus width auto detect packet
BUS_WIDTH_AUTO_DETECT2 - Static variable in class com.xilinx.rapidwright.bitstream.BitstreamHeader
 
BUS_WIDTH_AUTO_DETECT2 - Static variable in class com.xilinx.rapidwright.bitstream.Packet
The second bus width auto detect packet
BusIdx() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx
 
byteArrayToStringMulti(byte[], int, int, int, int) - Static method in class com.xilinx.rapidwright.edif.EDIFTokenizer
Read two separate locations from a buffer, concatenating them into a single string.
bytesToString(byte[]) - Static method in class com.xilinx.rapidwright.util.Installer
Convert bytes of an MD5 checksum into common alpha-numeric String representation.

C

CACHE_ID - Static variable in class com.xilinx.rapidwright.ipi.BlockStitcher
 
calcClockTreeCentroid() - Method in class com.xilinx.rapidwright.router.Router
 
calcDelay(SitePinInst, SitePinInst, Net) - Method in class com.xilinx.rapidwright.timing.TimingModel
Calculates the delay in picoseconds between a pair of pins on a physical "Net" object.
calcDelay(SitePinInst, SitePinInst, BELPin, BELPin, Net) - Method in class com.xilinx.rapidwright.timing.TimingModel
Calculates the delay in picoseconds between a pair of pins on a physical "Net" object.
calcDelay(TimingGroup) - Method in class com.xilinx.rapidwright.timing.TimingModel
Estimates the delay of a timing group in picoseconds.
calcDelay(List<TimingGroup>) - Method in class com.xilinx.rapidwright.timing.TimingModel
Estimates the delay of a timing group in picoseconds.
calcDelay(SitePinInst, SitePinInst, BELPin, BELPin, List<TimingGroup>) - Method in class com.xilinx.rapidwright.timing.TimingModel
Estimates the delay of a timing group in picoseconds.
calcDeltaCost() - Method in class com.xilinx.rapidwright.placer.blockplacer.Move2
 
calcThreads(long, int, boolean) - Static method in class com.xilinx.rapidwright.edif.ParallelEDIFParser
 
calculateAllValidPlacements(Device) - Method in class com.xilinx.rapidwright.design.Module
Does a brute force search to find all valid locations of where this module can be placed.
calculateArrivalRequiredTimes() - Method in class com.xilinx.rapidwright.timing.TimingManager
Calculates and returns the maximum arrival time and the associated TimingVertex
calculateCriticality(float, float, float) - Method in class com.xilinx.rapidwright.rwroute.Connection
Computes criticality of a connection.
calculateCriticality(List<Connection>, float, float) - Method in class com.xilinx.rapidwright.timing.TimingManager
Calculates criticality for each connection.
calculateHPWL() - Method in class com.xilinx.rapidwright.placer.blockplacer.Path
 
calculateLength() - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractPath
 
calculateLength() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsPath
 
calculateLength() - Method in class com.xilinx.rapidwright.placer.blockplacer.Path
 
calculateLength() - Method in class com.xilinx.rapidwright.placer.blockplacer.PortWire
 
calculateMD5OfFile(String) - Static method in class com.xilinx.rapidwright.util.Installer
Performs an MD5 checksum on the provided file and returns the result.
calculateMD5OfFile(Path) - Static method in class com.xilinx.rapidwright.util.Installer
Performs an MD5 checksum on the provided file and returns the result.
calculateMD5OfStream(InputStream) - Static method in class com.xilinx.rapidwright.util.Installer
Performs an MD5 checksum on the provided input stream and returns the result.
calculateStartTemp(int) - Method in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
 
calculateTileSize() - Method in class com.xilinx.rapidwright.placer.blockplacer.HardMacro
Updates the total number of tiles used in the hard macro.
calculateUtilization(Design, PBlock) - Static method in class com.xilinx.rapidwright.design.DesignTools
 
calculateUtilization(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
 
calculateUtilization(Collection<SiteInst>) - Static method in class com.xilinx.rapidwright.design.DesignTools
 
canInvert() - Method in class com.xilinx.rapidwright.device.BEL
Checks if the BEL can invert input signals.
canRead() - Method in enum com.xilinx.rapidwright.bitstream.RegisterType
Checks if this configuration address can be read.
canWrite() - Method in enum com.xilinx.rapidwright.bitstream.RegisterType
Checks if this configuration address can be written to.
capacity - Static variable in class com.xilinx.rapidwright.rwroute.RouteNode
Each RouteNode Object can be legally used by one net only
CARRY_CO_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
CARRY_PER_CLE - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
cast(OutputStream) - Static method in class com.xilinx.rapidwright.util.ParallelDCPOutput
 
Cell - Class in com.xilinx.rapidwright.design
A cell corresponds to the leaf cell within the logical netlist EDIFCellInst and provides a mapping to a physical location BEL on the device.
Cell(String) - Constructor for class com.xilinx.rapidwright.design.Cell
Cell constructor that creates a new physical cell
Cell(String, BEL) - Constructor for class com.xilinx.rapidwright.design.Cell
Creates a new physical cell and places it on the designated bel
Cell(String, SiteInst, BEL) - Constructor for class com.xilinx.rapidwright.design.Cell
Physical cell constructor.
CELL - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
Cell() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell
 
CELL_PIN_DEFAULTS_FILE_NAME - Static variable in class com.xilinx.rapidwright.util.FileTools
Location of the cell pins default data file
CellBelMapping() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping
 
CellBelPinEntry() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelPinEntry
 
CellConstraint() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint
 
CellDeclaration() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration
 
CellInstance() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance
 
CellInversion() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellInversion
 
CellParameterDefinition() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellParameterDefinition
 
CellPin - Class in com.xilinx.rapidwright.design
 
CellPin(Cell, String) - Constructor for class com.xilinx.rapidwright.design.CellPin
 
CellPinInversion() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion
 
CellPinInversionParameter() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter
 
CellPinStaticDefaults - Class in com.xilinx.rapidwright.design
Helper class to provide default static (GND or VCC) connection values to newly created cells.
CellPinStaticDefaults() - Constructor for class com.xilinx.rapidwright.design.CellPinStaticDefaults
 
CellPlacement() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement
 
CELLREF - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
cellref - Variable in class com.xilinx.rapidwright.edif.ParallelEDIFParserWorker.CellReferenceData
 
CellRef() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef
 
cellSetter - Variable in class com.xilinx.rapidwright.edif.ParallelEDIFParserWorker.CellReferenceData
 
CELLTYPE - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
changeNetView(int) - Method in class com.xilinx.rapidwright.placer.handplacer.FloorPlanScene
 
changeTopName(String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Will change the netlist name and top cell and instance name.
ChannelType - Enum in com.xilinx.rapidwright.design.noc
Enumerates the four major channel types that are used in a NOC Connection
CHECK_AND_CREATE_LOGICAL_CELL_IF_NOT_PRESENT - Static variable in class com.xilinx.rapidwright.interchange.PhysNetlistReader
When reading placement for physical netlist cells, check for the presence of and consistency with logical netlist cells.
CHECK_CONSTANT_ROUTING_AND_NET_NAMING - Static variable in class com.xilinx.rapidwright.interchange.PhysNetlistReader
Checks that constant routing and net names are valid.
CHECK_MACROS_CONSISTENT - Static variable in class com.xilinx.rapidwright.interchange.PhysNetlistReader
Examines a design to ensure that the provided macro placement is consistent with the macro definition in the library.
CHECK_UNISIM_DEFINITIONS - Static variable in class com.xilinx.rapidwright.interchange.LogNetlistReader
 
CheckAccuracyUsingGnlDesigns - Class in com.xilinx.rapidwright.tests
 
CheckAccuracyUsingGnlDesigns() - Constructor for class com.xilinx.rapidwright.tests.CheckAccuracyUsingGnlDesigns
 
checkClkResource(RouteNode) - Method in class com.xilinx.rapidwright.router.Router
 
checkExit(int) - Method in class com.xilinx.rapidwright.util.BlockExitSecurityManager
 
checkForCompanionCell() - Method in class com.xilinx.rapidwright.design.PinSwap
If this pin swap does not directly involve two cells, it may involve another indirectly.
checkFreeResources(HashMap<Integer, Integer[]>, HashMap<Integer, Integer>, HashMap<Integer, Integer>, HashMap<Integer, Integer>, HashMap<Integer, Integer>, HashMap<Integer, Integer>) - Method in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
Function takes as input one pblock (multiple if pattern repeats) and checks whether this is already used by other IPs and How many free resources (= rows) are left
checkIfDeviceSupported(String) - Static method in class com.xilinx.rapidwright.bitstream.Bitstream
Check if RapidWright has bitstream support for this part
checkPermission(Permission) - Method in class com.xilinx.rapidwright.util.BlockExitSecurityManager
 
checkPlacement() - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
TODO - This method is overly optimistic and needs to be updated - 3/8/16 - CL
checkpoint - Variable in class com.xilinx.rapidwright.util.performance_evaluation.TimingResults
 
checks - Static variable in class com.xilinx.rapidwright.design.DRC
 
checkSink(RouteNode) - Method in class com.xilinx.rapidwright.router.Router
 
checkTileType(Tile, GroupDelayType) - Method in class com.xilinx.rapidwright.timing.TimingModel
This checks in the horizontal direction a given tile and returns the value associated with type of tile used by the model.
clear() - Method in class com.xilinx.rapidwright.interchange.IdentityEnumerator
 
clear() - Method in class com.xilinx.rapidwright.interchange.LongEnumerator
 
clear() - Method in class com.xilinx.rapidwright.interchange.StringEnumerator
 
clear() - Method in class com.xilinx.rapidwright.placer.blockplacer.Move2
 
clearCurrentLines() - Method in class com.xilinx.rapidwright.device.browser.DeviceBrowserScene
 
clearPrev() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Clears the parent RouteNode instance.
clearTrackedChanges() - Method in class com.xilinx.rapidwright.design.Design
Clears (empties) all tracked sets (logical cells, SiteInsts and Nets)
clearUsedSites() - Method in class com.xilinx.rapidwright.design.Design
Clears out all the used sites in the design.
CLES_PER_BRAM - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
CLES_PER_DSP - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
clk - Static variable in class com.xilinx.rapidwright.examples.ExampleNetlistCreation
 
CLK_MACRO_SUFFIX - Static variable in class com.xilinx.rapidwright.design.Module
 
clkBufToClkRoutes(RouteNode, boolean) - Method in class com.xilinx.rapidwright.router.Router
 
ClkRouteTiming - Class in com.xilinx.rapidwright.timing
A ClkRouteTiming instance stores the clock route and timing template data.
ClkRouteTiming(String) - Constructor for class com.xilinx.rapidwright.timing.ClkRouteTiming
 
clkToSink(RouteNode, boolean) - Method in class com.xilinx.rapidwright.router.Router
 
CLOCK - Static variable in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
CLOCK_REGION_RANGE_STR - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockRange
 
clockFrequency() - Method in class com.xilinx.rapidwright.util.performance_evaluation.TimingResults
 
clockPeriod - Variable in class com.xilinx.rapidwright.util.performance_evaluation.TimingResults
 
ClockRegion - Class in com.xilinx.rapidwright.device
Represents a clock region (a rectangular group of tiles on a device) that has shared clocking resources.
clone() - Method in class com.xilinx.rapidwright.placer.blockplacer.LinearCongruentialGenerator
 
close() - Method in class com.xilinx.rapidwright.edif.EDIFParser
 
close() - Method in class com.xilinx.rapidwright.edif.EDIFTokenizer
 
close() - Method in class com.xilinx.rapidwright.edif.ParallelEDIFParser
 
close() - Method in class com.xilinx.rapidwright.edif.ParallelEDIFParserWorker
 
close(InputStream) - Static method in class com.xilinx.rapidwright.util.FileTools
 
close(ZipFile) - Static method in class com.xilinx.rapidwright.util.FileTools
 
close() - Method in class com.xilinx.rapidwright.util.NoCloseOutputStream
 
CMDCode - Enum in com.xilinx.rapidwright.bitstream
Command Register Codes (see UG570)
CodeGenerator - Class in com.xilinx.rapidwright.util
This utility class is used to create RapidWright code from a DCP file that is tedious to create by hand.
CodeGenerator() - Constructor for class com.xilinx.rapidwright.util.CodeGenerator
 
CodePerfTracker - Class in com.xilinx.rapidwright.tests
Simple tool for measuring code runtime and memory and reporting.
CodePerfTracker(String) - Constructor for class com.xilinx.rapidwright.tests.CodePerfTracker
 
CodePerfTracker(String, boolean) - Constructor for class com.xilinx.rapidwright.tests.CodePerfTracker
 
CodePerfTracker(String, boolean, boolean) - Constructor for class com.xilinx.rapidwright.tests.CodePerfTracker
 
collapseMacroUnisims(Series) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Collapses any macro primitives back into their primitive state.
collector() - Static method in class com.xilinx.rapidwright.design.RelocatableTileRectangle
Collect a Stream<Tile> to a TileRectangle
collector() - Static method in class com.xilinx.rapidwright.design.SimpleTileRectangle
 
collector(BlockPlacer2<?, ?, PlacementT, ?>) - Static method in class com.xilinx.rapidwright.placer.blockplacer.ExhaustiveValidPlacementCache
 
collector(BlockPlacer2<?, ?, PlacementT, ?>) - Static method in class com.xilinx.rapidwright.placer.blockplacer.SortedValidPlacementCache
 
color - Variable in class com.xilinx.rapidwright.gui.UiPBlock
 
cols - Variable in class com.xilinx.rapidwright.gui.TileScene
Number of tile columns being referenced on the device
COLUMN_SPACING - Static variable in class com.xilinx.rapidwright.util.StringTools
Number of spaces between column prints in StringTools.printListInColumns(List, PrintStream)
com.xilinx.rapidwright - package com.xilinx.rapidwright
 
com.xilinx.rapidwright.bitstream - package com.xilinx.rapidwright.bitstream
 
com.xilinx.rapidwright.debug - package com.xilinx.rapidwright.debug
 
com.xilinx.rapidwright.design - package com.xilinx.rapidwright.design
 
com.xilinx.rapidwright.design.blocks - package com.xilinx.rapidwright.design.blocks
 
com.xilinx.rapidwright.design.compare - package com.xilinx.rapidwright.design.compare
 
com.xilinx.rapidwright.design.drc - package com.xilinx.rapidwright.design.drc
 
com.xilinx.rapidwright.design.merge - package com.xilinx.rapidwright.design.merge
 
com.xilinx.rapidwright.design.noc - package com.xilinx.rapidwright.design.noc
 
com.xilinx.rapidwright.design.tools - package com.xilinx.rapidwright.design.tools
 
com.xilinx.rapidwright.device - package com.xilinx.rapidwright.device
 
com.xilinx.rapidwright.device.browser - package com.xilinx.rapidwright.device.browser
 
com.xilinx.rapidwright.device.helper - package com.xilinx.rapidwright.device.helper
 
com.xilinx.rapidwright.eco - package com.xilinx.rapidwright.eco
 
com.xilinx.rapidwright.edif - package com.xilinx.rapidwright.edif
 
com.xilinx.rapidwright.edif.compare - package com.xilinx.rapidwright.edif.compare
 
com.xilinx.rapidwright.examples - package com.xilinx.rapidwright.examples
 
com.xilinx.rapidwright.examples.tilebrowser - package com.xilinx.rapidwright.examples.tilebrowser
 
com.xilinx.rapidwright.gui - package com.xilinx.rapidwright.gui
 
com.xilinx.rapidwright.interchange - package com.xilinx.rapidwright.interchange
 
com.xilinx.rapidwright.ipi - package com.xilinx.rapidwright.ipi
 
com.xilinx.rapidwright.placer.blockplacer - package com.xilinx.rapidwright.placer.blockplacer
 
com.xilinx.rapidwright.placer.handplacer - package com.xilinx.rapidwright.placer.handplacer
 
com.xilinx.rapidwright.router - package com.xilinx.rapidwright.router
 
com.xilinx.rapidwright.rwroute - package com.xilinx.rapidwright.rwroute
 
com.xilinx.rapidwright.tests - package com.xilinx.rapidwright.tests
 
com.xilinx.rapidwright.timing - package com.xilinx.rapidwright.timing
 
com.xilinx.rapidwright.timing.delayestimator - package com.xilinx.rapidwright.timing.delayestimator
 
com.xilinx.rapidwright.util - package com.xilinx.rapidwright.util
 
com.xilinx.rapidwright.util.function - package com.xilinx.rapidwright.util.function
 
com.xilinx.rapidwright.util.performance_evaluation - package com.xilinx.rapidwright.util.performance_evaluation
 
com.xilinx.rapidwright.util.rwroute - package com.xilinx.rapidwright.util.rwroute
 
COMMENT - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
CommonCellBelPinMaps() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps
 
CommunicationType - Enum in com.xilinx.rapidwright.design.noc
Enumerates AXI traffic communication types.
compare(String, String) - Method in class com.xilinx.rapidwright.interchange.EnumerateCellBelMapping.StringCompare
 
compare(String, String) - Method in class com.xilinx.rapidwright.util.CompareRouteStatusReports
 
compareDesigns(Design, Design) - Method in class com.xilinx.rapidwright.design.compare.DesignComparator
Compares two designs placement and routing (according to flags, see DesignComparator.comparePlacement, DesignComparator.comparePIPs, and DesignComparator.comparePIPFlags).
compareNetlists(EDIFNetlist, EDIFNetlist) - Method in class com.xilinx.rapidwright.edif.compare.EDIFNetlistComparator
 
compareNets(Net, Net) - Method in class com.xilinx.rapidwright.design.compare.DesignComparator
Compares two nets for differences in routing (PIPs).
comparePIPFlags() - Method in class com.xilinx.rapidwright.design.compare.DesignComparator
Gets the comparePIPFlags flag indicating if the routing flags on a design's PIPs should be compared by DesignComparator.
CompareRouteStatusReports - Class in com.xilinx.rapidwright.util
Tool for comparing reports from the Vivado Tcl command report_route_status.
CompareRouteStatusReports() - Constructor for class com.xilinx.rapidwright.util.CompareRouteStatusReports
 
compareSiteInsts(SiteInst, SiteInst) - Method in class com.xilinx.rapidwright.design.compare.DesignComparator
Compares two site instances for placement and site routing differences.
compareTo(Cell) - Method in class com.xilinx.rapidwright.design.Cell
 
compareTo(Net) - Method in class com.xilinx.rapidwright.design.Net
Compares two nets based on fan-out.
compareTo(SiteInst) - Method in class com.xilinx.rapidwright.design.SiteInst
 
compareTo(TileColumnPattern) - Method in class com.xilinx.rapidwright.device.helper.TileColumnPattern
 
compareTo(Object) - Method in class com.xilinx.rapidwright.device.PIP
Compares this PIP to another PIP (for sorting purposes).
compareTo(EDIFName) - Method in class com.xilinx.rapidwright.edif.EDIFName
 
compareTo(EDIFPortInst) - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
compareTo(Object) - Method in class com.xilinx.rapidwright.placer.blockplacer.HardMacro
 
compareTo(Point) - Method in class com.xilinx.rapidwright.placer.blockplacer.Point
 
compareTo(RouteNode) - Method in class com.xilinx.rapidwright.router.RouteNode
The priority queue will use strictly the cost to evaluate priority
compareTo(Connection) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
compareTo(RouteNode) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
 
compareTo(TimingGroup) - Method in class com.xilinx.rapidwright.timing.TimingGroup
This object implements the comparable object interface so that TimingGroup objects may be compared.
compareTo(Object) - Method in class com.xilinx.rapidwright.timing.TimingVertex
Implements the comparable object interface so that Vertices can be compared.
compareTo(RouteStatus) - Method in class com.xilinx.rapidwright.util.RouteStatus
 
compatibleTypesArray - Static variable in class com.xilinx.rapidwright.device.Site
Keeps track of extra site types on which primitive types can be placed
ComponentType - Enum in com.xilinx.rapidwright.design.noc
Enumerates NOC Site Types.
compressFileUsingGZIP(Path) - Static method in class com.xilinx.rapidwright.util.FileTools
Compresses the provided file using GZIP (adds '.gz' extension)
computeArrivalTimes() - Method in class com.xilinx.rapidwright.timing.TimingGraph
Computes/recomputes the arrival times stored at the vertices of the graph based on the edges
computeArrivalTimesTopologicalOrder() - Method in class com.xilinx.rapidwright.timing.TimingGraph
Computes/recomputes the arrival time stored at each vertex of the graph using TopologicalOrderIterator
computeConnectionBoundingBox(short, short, int[], int[]) - Method in class com.xilinx.rapidwright.rwroute.Connection
Computes the connection bounding box based on the geometric center of the net, source and sink rnodes, and for cross SLR connections the location of Laguna columns.
computeHorizontalDistFromArray(int, int, GroupDelayType) - Method in class com.xilinx.rapidwright.timing.TimingModel
Computes the Horizontal Distance used later by the delay calculation.
computeHpwl() - Method in class com.xilinx.rapidwright.rwroute.Connection
Computes the half-perimeter wirelength of connection based on the source and sink rnodes.
computeHPWLAndCenterCoordinates(int[], int[]) - Method in class com.xilinx.rapidwright.rwroute.NetWrapper
 
computeNodeDelay(DelayEstimatorBase, Node) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Computes the delay of a node.
computeSlacks() - Method in class com.xilinx.rapidwright.timing.TimingGraph
Computes/recomputes the slack stored at vertices of the graph based on comparing required times and arrival times.
computeTypes() - Method in class com.xilinx.rapidwright.timing.TimingGroup
Computes the TimingGroup GroupDelayType for this group.
computeVerticalDistFromArray(int, int, GroupDelayType) - Method in class com.xilinx.rapidwright.timing.TimingModel
Computes the Vertical Distance used later by the delay calculation.
concurrentPool() - Static method in class com.xilinx.rapidwright.util.StringPool
Create a new thread safe StringPool
ConfigArray - Class in com.xilinx.rapidwright.bitstream
Represents the array of configuration blocks that configures the device.
ConfigArray(Device) - Constructor for class com.xilinx.rapidwright.bitstream.ConfigArray
Creates a new config array based on the provided device
ConfigRow - Class in com.xilinx.rapidwright.bitstream
Configuration row.
ConfigRow(int) - Constructor for class com.xilinx.rapidwright.bitstream.ConfigRow
Creates a new ConfigRow
configureArray() - Method in class com.xilinx.rapidwright.bitstream.Bitstream
Applies the bitstream packets and data to the configuration array.
configureLUT(Cell, String) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
Programs a LUT cell's init string from the provided equation.
configureLUT(EDIFCellInst, String) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
Programs a LUT cell's init string from the provided equation.
connect(String, String) - Method in class com.xilinx.rapidwright.design.AbstractModuleInst
Connects two signals by port name between this module instance and a top-level port.
connect(String, String, int) - Method in class com.xilinx.rapidwright.design.AbstractModuleInst
Connects two signals by port name between this module instance and a top-level port.
connect(String, T, String) - Method in class com.xilinx.rapidwright.design.AbstractModuleInst
Connects two signals by port name between this module instance and another.
connect(String, T, String, int) - Method in class com.xilinx.rapidwright.design.AbstractModuleInst
Connects two signals by port name between this module instance and another.
connect(String, int, T, String, int) - Method in class com.xilinx.rapidwright.design.AbstractModuleInst
Connects two signals by port name between this module instance and another.
connect(String, int, ModuleInst, String, int) - Method in class com.xilinx.rapidwright.design.ModuleInst
Connects two signals by port name between this module instance and another.
connect(Cell, String) - Method in class com.xilinx.rapidwright.design.Net
Makes both logical and physical connections (EDIFNet and Net) for a logical pin on the given cell.
connectDebugProbe(EDIFNet, String, String, EDIFHierCellInst, EDIFNetlist, HashMap<EDIFCell, ArrayList<EDIFCellInst>>) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Specialized function to connect a debug port within an EDIF netlist.
Connection - Class in com.xilinx.rapidwright.rwroute
A Connection instance represents a pair of source-sink SitePinInst instances of a Net instance.
Connection(int, SitePinInst, SitePinInst, NetWrapper) - Constructor for class com.xilinx.rapidwright.rwroute.Connection
 
connectNet(Design, Map<EDIFHierNet, List<EDIFHierPortInst>>, Map<Net, Set<SitePinInst>>) - Static method in class com.xilinx.rapidwright.eco.ECOTools
Given a map of EDIFHierNet to list of EDIFHierPortInst object(s), connect the latter pins to the former net.
connectNet(Design, Cell, String, Net) - Static method in class com.xilinx.rapidwright.eco.ECOTools
Convenience wrapper method to connectNet() that allows for minimal specification of a single connection to be made.
connectNet(Design, List<String>) - Static method in class com.xilinx.rapidwright.eco.ECOTools
Given a list of strings containing one net path followed by one or more pin paths separated by spaces (in line with Tcl convention) connect the latter pins to the former net.
connectNet(Design, List<String>, Map<Net, Set<SitePinInst>>) - Static method in class com.xilinx.rapidwright.eco.ECOTools
Given a list of strings containing one net path followed by one or more pin paths separated by spaces (in line with Tcl convention) connect the latter pins to the former net.
connectPortBus(EDIFCell, EDIFCellInst, EDIFCellInst, String, String, int) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
connectPortInstsThruHier(EDIFHierPortInst, EDIFHierPortInst, String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Connects two existing logical port insts together by creating new ports and nets on all cells instantiated between their levels of hierarchy.
connectPortInstsThruHier(EDIFHierNet, EDIFHierPortInst, String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Connects an existing logical net and logical port inst together by creating new ports and nets on all cells instantiated between their levels of hierarchy.
connectStaticSourceToPin(NetType, String) - Method in class com.xilinx.rapidwright.design.Cell
Convenience method to quickly connect a cell pin to VDD or GND.
connectStaticSourceToPins(NetType, String...) - Method in class com.xilinx.rapidwright.design.Cell
Convenience method to quickly connect a set of cell pins to VDD or GND.
connectsTo(ModuleInstT) - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractPath
 
consolidateAllToWorkLibrary() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Migrates all libraries except HDI primitives and work to the work library.
consolidateLibraries(EDIFNetlist) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
ConstantDefinitions - Class in com.xilinx.rapidwright.interchange
 
ConstantDefinitions(StringEnumerator, DeviceResources.Device.Constants.Reader, Map<TileTypeEnum, DeviceResources.Device.TileType.Reader>) - Constructor for class com.xilinx.rapidwright.interchange.ConstantDefinitions
 
Constants() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants
 
ConstraintGroup - Enum in com.xilinx.rapidwright.design
 
ConstraintLocation() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation
 
constraintName - Variable in class com.xilinx.rapidwright.util.performance_evaluation.TimingResults
 
Constraints() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELInverter.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELPin.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelPinEntry.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellInversion.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellParameterDefinition.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnection.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnections.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.NodeConstantSource.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SitePinConstantExceptions.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElement.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Node.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Grade.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinitions.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapEntry.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParentPins.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PseudoCell.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Site.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeBelEntry.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteWire.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Wire.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireConstantSources.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireType.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.HashSet.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.StringRef.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.HashSet.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bitstring.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bus.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.HashSet.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.MultiCellPinMapping.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBel.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBelPin.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysCell.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNode.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePin.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Property.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.SiteInstance.Factory
 
constructBuilder(SegmentBuilder, int, int, int, short) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELInverter.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELPin.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelPinEntry.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellInversion.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellParameterDefinition.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnection.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnections.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.NodeConstantSource.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SitePinConstantExceptions.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElement.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Node.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Grade.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinitions.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapEntry.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParentPins.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PseudoCell.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Site.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeBelEntry.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteWire.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Wire.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireConstantSources.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireType.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.HashSet.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.StringRef.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.HashSet.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bitstring.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bus.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.HashSet.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.MultiCellPinMapping.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBel.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBelPin.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysCell.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNode.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePin.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Property.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.SiteInstance.Factory
 
constructReader(SegmentReader, int, int, int, short, int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef.Factory
 
containRouting() - Method in class com.xilinx.rapidwright.design.blocks.PBlock
 
contains(E) - Method in class com.xilinx.rapidwright.device.helper.HashPool
Checks the HashPool if it contains an equal object to obj as defined by the equals() method.
contains(PlacementT) - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractValidPlacementCache
 
contains(PlacementT) - Method in class com.xilinx.rapidwright.placer.blockplacer.ExhaustiveValidPlacementCache
 
contains(PlacementT) - Method in class com.xilinx.rapidwright.placer.blockplacer.SortedValidPlacementCache
 
containsCell(EDIFCell) - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
Checks the library based on the legal EDIF name (rename) if the cell is stored within.
containsCell(String) - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
Checks if the library contains a cell with the name provided.
containsPortInst(String) - Method in class com.xilinx.rapidwright.timing.DSPTimingData
Checks if the EDIFPortInst is included in the input and output lists by name.
containsSLICEM() - Method in class com.xilinx.rapidwright.gui.HMTile
 
containsTile(Tile) - Method in class com.xilinx.rapidwright.design.blocks.PBlock
Checks if this pblock includes the tile provided within its boundaries.
containsTile(Tile) - Method in class com.xilinx.rapidwright.device.ClockRegion
Checks if this clock region contains the specified tile.
containsTile(Tile) - Method in class com.xilinx.rapidwright.device.SLR
Checks if this SLR contains the specified tile.
CONTENTS - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
convexHull(ArrayList<Point>) - Static method in class com.xilinx.rapidwright.placer.blockplacer.SmallestEnclosingCircle
Given a set of points, returns the set of points in the convex hull in counterclockwise order.
copy(String, String, String) - Static method in class com.xilinx.rapidwright.interchange.MacroParamRule
 
copyCell(String, EDIFHierCellInst) - Method in class com.xilinx.rapidwright.design.Cell
Copies this cell except instance name and EDIFCellInst reference
copyCell(String, EDIFHierCellInst, SiteInst) - Method in class com.xilinx.rapidwright.design.Cell
Copies this cell except instance name, EDIFCellInst and SiteInst reference
copyCell(Cell, String) - Method in class com.xilinx.rapidwright.design.Design
Copies the cell c into this design (c should originate from a different design).
copyCellAndSubCells(EDIFCell) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
This copies the cell and all of its descendants into this netlist.
copyFile(String, String) - Static method in class com.xilinx.rapidwright.util.FileTools
Copies a file from one location (src) to another (dst).
copyFolder(String, String, boolean) - Static method in class com.xilinx.rapidwright.util.FileTools
Copies a folder and its files from the path defined in srcDirectoryPath to a new folder at dstDirectoryPath.
copyFolderContents(String, String, boolean) - Static method in class com.xilinx.rapidwright.util.FileTools
Copies the folder contents of the folder specified by src to folder specified as dst.
copyFrameData(Block) - Method in class com.xilinx.rapidwright.bitstream.Block
Copies all frame data from the provided block into the corresponding frames of this block.
copyImplementation(Design, Design, boolean, boolean, Map<String, String>) - Static method in class com.xilinx.rapidwright.design.DesignTools
Copies the logic and implementation of a set of cells from one design to another.
copyImplementation(Design, Design, boolean, boolean, boolean, boolean, Map<String, String>) - Static method in class com.xilinx.rapidwright.design.DesignTools
Copies the logic and implementation of a set of cells from one design to another with additional flags to control copying nets.
copyImplementation(Design, Design, String...) - Static method in class com.xilinx.rapidwright.design.DesignTools
Copies the logic and implementation of a set of cells from one design to another.
copyImplementation(Design, Design, boolean, boolean, String...) - Static method in class com.xilinx.rapidwright.design.DesignTools
Copies the logic and implementation of a set of cells from one design to another.
CopyMMCMCell - Class in com.xilinx.rapidwright.examples
Takes as input two DCP files, one with an MMCM in one DCP that is to be copied into another DCP.
CopyMMCMCell() - Constructor for class com.xilinx.rapidwright.examples.CopyMMCMCell
 
copyPartitionPins(Design, ModuleInst, Map<EDIFPort, EDIFPort>) - Method in class com.xilinx.rapidwright.design.Design
Copy PartitionPins from a design to its ModuleInst in this design.
copyWords(Frame) - Method in class com.xilinx.rapidwright.bitstream.Frame
Copies all frame data into this frame.
CornerModel() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel
 
CornerModelValues() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues
 
cost - Variable in class com.xilinx.rapidwright.router.RouteNode
This is the routing cost of using this node in the current route
cost - Variable in class com.xilinx.rapidwright.timing.TimingGroup
 
COUNT_REQUEST_OPT - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
countBlocks() - Method in class com.xilinx.rapidwright.placer.blockplacer.Move2
 
countConnectedModules() - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractPath
 
countConnectionsOfUser(NetWrapper) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Counts the connections of a user that are using a rnode.
countDown() - Method in class com.xilinx.rapidwright.util.CountUpDownLatch
 
CounterGenerator - Class in com.xilinx.rapidwright.examples
A class that implements a parameterizable counter for an UltraScale+ device using the AddSubGenerator as a submodule to increment/decrement the counter.
CounterGenerator() - Constructor for class com.xilinx.rapidwright.examples.CounterGenerator
 
CountingOutputStream - Class in com.xilinx.rapidwright.util
OutputStream wrapper that counts number of bytes written
CountingOutputStream(OutputStream) - Constructor for class com.xilinx.rapidwright.util.CountingOutputStream
 
countOccurrences(String, char) - Static method in class com.xilinx.rapidwright.util.StringTools
Counts occurrences of a character in a string.
countUp() - Method in class com.xilinx.rapidwright.util.CountUpDownLatch
 
CountUpDownLatch - Class in com.xilinx.rapidwright.util
Mirrors CountDownLatch functionality but with the ability to count up too.
CountUpDownLatch() - Constructor for class com.xilinx.rapidwright.util.CountUpDownLatch
 
CRC - Class in com.xilinx.rapidwright.bitstream
Xilinx devices use a 32-bit CRC (CRC-32C) to validate bitstream integrity.
CRC() - Constructor for class com.xilinx.rapidwright.bitstream.CRC
 
create(EDIFCellInst...) - Static method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
Create an absolute EDIFHierCellInst.
CREATE_JUPYTER_KERNEL - Static variable in class com.xilinx.rapidwright.StandaloneEntrypoint
Option to create JSON Kernel file for Jupyter Notebook support
CREATE_JUPYTER_KERNEL - Static variable in class com.xilinx.rapidwright.util.RapidWright
Deprecated.
Option to create JSON Kernel file for Jupyter Notebook support
CREATE_ROUTED_DCP - Static variable in class com.xilinx.rapidwright.ipi.BlockStitcher
 
createA1A6ToStaticNets(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
 
createAddSub(Design, Site, int, boolean, boolean, boolean) - Static method in class com.xilinx.rapidwright.examples.AddSubGenerator
 
createAddSub(Design, Site, int, boolean, boolean, boolean, boolean) - Static method in class com.xilinx.rapidwright.examples.AddSubGenerator
 
createAndPlaceCell(String, Unisim, String) - Method in class com.xilinx.rapidwright.design.Design
Creates a new cell from scratch in the logical and physical netlists and places it at the location specified.
createAndPlaceCell(String, Unisim, String, String...) - Method in class com.xilinx.rapidwright.design.Design
Creates a new cell from scratch in the logical and physical netlists and places it at the location specified.
createAndPlaceCell(EDIFCell, String, Unisim, String) - Method in class com.xilinx.rapidwright.design.Design
Creates a new cell from scratch in the logical and physical netlists and places it at the location specified.
createAndPlaceCell(EDIFCell, String, Unisim, String, String...) - Method in class com.xilinx.rapidwright.design.Design
Creates a new cell from scratch in the logical and physical netlists and places it at the location specified.
createAndPlaceCell(EDIFCell, String, Unisim, Site, BEL) - Method in class com.xilinx.rapidwright.design.Design
Convenience function to create and place a new cell.
createAndPlaceCell(EDIFCell, String, Unisim, Site, BEL, String...) - Method in class com.xilinx.rapidwright.design.Design
Convenience function to create and place a new cell.
createAndPlaceInlineCellOnInputPin(Design, EDIFHierPortInst, Unisim, Site, BEL, String, String) - Static method in class com.xilinx.rapidwright.eco.ECOTools
Creates and places a new primitive cell inline from the existing net driving the input pin provided and connects its output to the existing input.
createAndPlaceIOB(String, PinType, String, String) - Method in class com.xilinx.rapidwright.design.Design
Creates either an IBUF or OBUF in the netlist and places according to the provided package pin.
createAndPlaceIOB(String, PinType, String, String, Net, EDIFNet) - Method in class com.xilinx.rapidwright.design.Design
Creates either an IBUF or OBUF in the netlist and places according to the provided package pin.
createAvailablePartTreeWidget(String) - Static method in class com.xilinx.rapidwright.gui.WidgetMaker
 
createBlock(String, String, EDIFNetlist, int, String) - Static method in class com.xilinx.rapidwright.ipi.BlockCreator
 
createBlockGuide(String) - Method in class com.xilinx.rapidwright.design.blocks.ImplGuide
Creates a new block guide with the associated cache ID and adds it to the impl guide.
createBUFGCE(EDIFCell, String, Site) - Method in class com.xilinx.rapidwright.design.Design
Creates a new BUFGCE instance in the parent cell provided and places it at location.
createBUFGCE(Design, String, String, String, String) - Static method in class com.xilinx.rapidwright.examples.SLRCrosserGenerator
Creates/instantiates a BUFGCE in the design
createBUFGCEAndFlops(Design, int, List<String>, String, String, String, String) - Static method in class com.xilinx.rapidwright.examples.SLRCrosserGenerator
Creates the logical netlist of the SLR crosser design.
createCeClkOfRoutethruFFToVCC(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
 
createCell(String, EDIFCell) - Method in class com.xilinx.rapidwright.design.Design
Creates and adds a new cell instance to the top level of the design.
createCell(String, Unisim) - Method in class com.xilinx.rapidwright.design.Design
Creates and adds a new cell instance to the top level of the design.
createCell(String, EDIFCellInst) - Method in class com.xilinx.rapidwright.design.Design
Creates a physical cell object from an existing logical cell instance in the netlist.
createCell(EDIFHierCellInst, BEL) - Method in class com.xilinx.rapidwright.design.SiteInst
Creates a physical cell from the provided logical hierarchical cell instance and places it on the provided bel in this SiteInst.
createCell(Design, EDIFCell, List<String>) - Static method in class com.xilinx.rapidwright.eco.ECOTools
Given a EDIFCell object and a list of instance paths, create these cell instantiations in the design.
createCellInst(String, EDIFCell) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
createCellInstanceMap(EDIFNetlist) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Creates a map of all cells in the netlist and a mapping to a list of all the hierarchical instances of that cell.
createCeSrRstPinsToVCC(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
Create and add any missing SitePinInst-s belonging to the VCC net.
createChecksum(String) - Static method in class com.xilinx.rapidwright.ipi.BlockCreator
 
createChildCellInst(String, EDIFCell) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
createClkTimingData(RWRouteConfig) - Static method in class com.xilinx.rapidwright.rwroute.RWRoute
Creates clock routing related inputs based on the RWRouteConfig instance.
createConfigArray() - Method in class com.xilinx.rapidwright.bitstream.ConfigArray
Creates a configuration array from the device specified in the object.
createCounter(Design, Site, int, long, long, boolean) - Static method in class com.xilinx.rapidwright.examples.CounterGenerator
A method that implements a parameterizable counter for an UltraScale+ device using the AddSubGenerator.createAddSub(Design, Site, int, boolean, boolean, boolean, boolean) method to create an adder/subtractor submodule to increment/decrement the counter.
createDesign(File, String, CodePerfTracker) - Method in class com.xilinx.rapidwright.examples.PicoBlazeArray.PicoBlazeArrayCreator
 
createDoneFile(String, BlockGuide) - Static method in class com.xilinx.rapidwright.ipi.BlockCreator
 
createDSP48E2CellInstance(Design, EDIFCell, String) - Static method in class com.xilinx.rapidwright.examples.MultGenerator
 
createDuplicatePropertiesMap() - Method in class com.xilinx.rapidwright.edif.EDIFPropertyObject
Creates a completely new copy of the map
createExitSitePinInst(Design, EDIFHierPortInst, Net) - Static method in class com.xilinx.rapidwright.eco.ECOTools
Creates a new SitePinInst source or sink for the physical net provided.
createIBUFDS(String, String, Site, Site, Net, EDIFNet, String) - Method in class com.xilinx.rapidwright.design.Design
This method will create a generic IBUFDS instance (DIFFINBUF+IBUFCTRL) at the top level of a design.
createIDCode(int, int) - Static method in class com.xilinx.rapidwright.bitstream.IDCode
For a given ID code, modify it based on the silicon revision
createILADesign(int, int, Part) - Static method in class com.xilinx.rapidwright.debug.ILAInserter
This method will go outside and invoke Vivado to create a stand-alone project with an ILA and Debug Hub and created a synthesized DCP that can be imported to RapidWright.
createImplRun(String, PBlock, int, BlockGuide) - Static method in class com.xilinx.rapidwright.ipi.BlockCreator
Launches an independent run of an area constrained place and route job
createJob() - Static method in class com.xilinx.rapidwright.util.JobQueue
Create a new Job.
createJupyterKernelFile() - Static method in class com.xilinx.rapidwright.StandaloneEntrypoint
 
createLaunchScript() - Method in class com.xilinx.rapidwright.util.Job
 
createLTX(String) - Method in class com.xilinx.rapidwright.debug.DesignInstrumentor
Uses list of signals marked for debug to produce debug netlist (.ltx) file for debugging.
createMacroParamRules() - Static method in class com.xilinx.rapidwright.interchange.PopulateMacroParamRules
 
createMissingSitePinInsts(Design, Net) - Static method in class com.xilinx.rapidwright.design.DesignTools
Creates any and all missing SitePinInsts for this net.
createMissingSitePinInsts(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
Creates all missing SitePinInsts in a design, except GLOBAL_USEDNET.
createMissingStaticSitePins(BELPin, SiteInst, Cell) - Static method in class com.xilinx.rapidwright.design.DesignTools
 
createModuleImplsInst(Design, String, ModuleImpls) - Static method in class com.xilinx.rapidwright.design.DesignTools
Create a ModuleImplsInst, i.e.
createModuleInst(String, Module) - Method in class com.xilinx.rapidwright.design.Design
Creates, adds to design, and returns a new ModuleInst called name and based on module.
createModuleInst(String, Module, boolean) - Method in class com.xilinx.rapidwright.design.Design
Creates, adds to design, and returns a new ModuleInst called name and based on module.
createModuleInstsFromModuleImplsInsts(Design, Collection<ModuleImplsInst>, Collection<ImplsPath>) - Static method in class com.xilinx.rapidwright.design.DesignTools
In a design containing ModuleImplsInsts, convert them into ModuleInsts so that the design can be exported to a checkpoint
createMult(Design, Site, int, String, String) - Static method in class com.xilinx.rapidwright.examples.MultGenerator
 
createMultiThreaded() - Static method in class com.xilinx.rapidwright.edif.EDIFReadLegalNameCache
 
createNet(EDIFHierNet) - Method in class com.xilinx.rapidwright.design.Design
Creates a physical net from a logical hierarchical net.
createNet(String) - Method in class com.xilinx.rapidwright.design.Design
Creates both the logical and physical net objects for the given name.
createNet(Design, List<String>) - Static method in class com.xilinx.rapidwright.eco.ECOTools
Given list of net paths, create these nets in the design.
createNet(String) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
createNetsFiles() - Method in class com.xilinx.rapidwright.router.SATRouter
 
createNewNetlist(String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
createNewNetlist(String, boolean) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
createNewNetlist(EDIFCellInst) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Creates a new netlist from an existing EDIFCellInst in a netlist.
createNewPblockAt(Site) - Method in class com.xilinx.rapidwright.design.blocks.PBlock
 
createNode(Wire) - Method in class com.xilinx.rapidwright.router.RouteNode
 
createNode(Wire, RouteNode) - Method in class com.xilinx.rapidwright.router.RouteNode
 
createOrFindEDIFCellInst(String, EDIFCell) - Method in class com.xilinx.rapidwright.design.Design
Create or find a EDIFCellInst of the specified name.
createOrRetrieveBlock(String, String, String, String, int) - Static method in class com.xilinx.rapidwright.ipi.BlockCreator
Relies on the cache to load or create from the prepared design the appropriate module based on the input files.
createPacket1(OpCode, RegisterType, int) - Static method in class com.xilinx.rapidwright.bitstream.Bitstream
Convenience method to create a new type 1 packet
createPacketList(Device, int) - Static method in class com.xilinx.rapidwright.bitstream.Bitstream
Creates a new (empty) list of configuration packets to fully program a device.
createPartitionPin(EDIFHierPortInst, Node) - Method in class com.xilinx.rapidwright.design.Design
Creates a new partition pin and adds it to the design
createPartitionPin(EDIFPort, int, Node) - Method in class com.xilinx.rapidwright.design.Design
Creates a new partition pin from a top cell port and adds it to the design
createPartitionPin(EDIFPort, Node) - Method in class com.xilinx.rapidwright.design.Design
Creates a new partition pin from a top cell port and adds it to the design
createPBlockRange(Device, Collection<Site>) - Static method in class com.xilinx.rapidwright.design.blocks.PBlock
Creates a pblock range for a specific site type namespace (all must be SLICE or DSP, no mixing).
createPin(String, SiteInst) - Method in class com.xilinx.rapidwright.design.Net
Convenience function that creates a new pin from the provided parameters and adds it to the net.
createPinAndAddToNet(Cell, String, Net) - Static method in class com.xilinx.rapidwright.design.DesignTools
Creates a new pin on a site connected to the cell pin and also adds it to the provided net.
createPipeline(Design, Site, int, int, int, PipelineGenerator.direction, boolean) - Static method in class com.xilinx.rapidwright.examples.PipelineGenerator
 
createPipeline(Design, Site, int, int, int, int, PipelineGeneratorWithRouting.direction, boolean, boolean) - Static method in class com.xilinx.rapidwright.examples.PipelineGeneratorWithRouting
Generates the circuit for the pipeline.
createPipFile() - Method in class com.xilinx.rapidwright.router.SATRouter
Creates the necessary routing resource graph file to supply evRouter for routing.
createPlacer(Design, Path) - Method in class com.xilinx.rapidwright.examples.PicoBlazeArray.PicoBlazeArrayCreator
 
createPort(String, EDIFDirection, int) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
createPort(EDIFPort) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
createPortInst(EDIFPort) - Method in class com.xilinx.rapidwright.edif.EDIFNet
 
createPortInst(EDIFPort, int) - Method in class com.xilinx.rapidwright.edif.EDIFNet
 
createPortInst(String, EDIFCellInst) - Method in class com.xilinx.rapidwright.edif.EDIFNet
Creates a new port instance from a name on the external port of the provided cell instance.
createPortInst(String, EDIFCellInst, boolean) - Method in class com.xilinx.rapidwright.edif.EDIFNet
 
createPortInst(String, EDIFCell) - Method in class com.xilinx.rapidwright.edif.EDIFNet
Creates a new port instance from a name on the internal port of the provided cell.
createPortInst(String, int, EDIFCellInst) - Method in class com.xilinx.rapidwright.edif.EDIFNet
 
createPortInst(String, Cell) - Method in class com.xilinx.rapidwright.edif.EDIFNet
 
createPortInst(String, int, Cell) - Method in class com.xilinx.rapidwright.edif.EDIFNet
 
createPortInst(EDIFPort, EDIFCellInst) - Method in class com.xilinx.rapidwright.edif.EDIFNet
 
createPortInst(EDIFPort, EDIFCellInst, boolean) - Method in class com.xilinx.rapidwright.edif.EDIFNet
 
createPortInst(EDIFPort, int, EDIFCellInst) - Method in class com.xilinx.rapidwright.edif.EDIFNet
 
createPortInst(EDIFPort, int, EDIFCellInst, boolean) - Method in class com.xilinx.rapidwright.edif.EDIFNet
 
createPortInstFromPortInstName(String, EDIFCell, EDIFCellInst) - Method in class com.xilinx.rapidwright.edif.EDIFNet
Creates a port instance from a name.
createPortInstFromPortInstName(String, EDIFCell, EDIFCellInst, boolean) - Method in class com.xilinx.rapidwright.edif.EDIFNet
Creates a port instance from a name.
createPortInstFromPortInstName(String, EDIFCellInst) - Static method in class com.xilinx.rapidwright.edif.EDIFPortInst
Creates a new port instance without connecting it to a net.
createPortInsts(EDIFCell, String, EDIFDirection, int) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Creates a top level port (if it doesn't already exist) and an array of corresponding EDIFPortInsts to be used to connect to an array of EDIFNets
createPortInsts(String, EDIFDirection, int, EDIFCellInst) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Creates an array of EDIFPortInsts from an existing port on an EDIFCellInst.
createPossiblePinsToStaticNets(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
 
createPriorityQueue() - Static method in class com.xilinx.rapidwright.router.RouteNode
Creates a new priority queue that sorts route nodes based on their lowest cost (see RouteNode.getCost()).
createRelative(EDIFCellInst...) - Static method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
Create an EDIFHierCellInst.
createRouteRun(double) - Method in class com.xilinx.rapidwright.util.performance_evaluation.PerformanceEvaluation
 
createRouteScript() - Method in class com.xilinx.rapidwright.util.performance_evaluation.PerformanceEvaluation.RouteRun
 
createRoutingNode(Node, Map<Node, LightweightRouteNode>) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Creates a LightweightRouteNode Object based on a Node Object, avoiding duplicates.
createRuntimeTracker(String, String) - Method in class com.xilinx.rapidwright.util.RuntimeTrackerTree
Creates a RuntimeTracker instance with its name and its parent name.
createSingleThreaded() - Static method in class com.xilinx.rapidwright.edif.EDIFReadLegalNameCache
 
createSiteConfig(Site, SiteTypeEnum) - Static method in class com.xilinx.rapidwright.design.SiteConfig
 
createSiteInst(String, SiteTypeEnum, Site) - Method in class com.xilinx.rapidwright.design.Design
Creates a new site instance in the design.
createSiteInst(Site) - Method in class com.xilinx.rapidwright.design.Design
Convenience site instance creator for a given site.
createSiteInst(String) - Method in class com.xilinx.rapidwright.design.Design
Convenience site instance creator for a given site.
createSiteMap(Device) - Static method in class com.xilinx.rapidwright.interchange.EnumerateCellBelMapping
 
createSiteType(String) - Static method in class com.xilinx.rapidwright.util.Utils
Returns a SiteTypeEnum enum based on the given string.
createStandAloneRuntimeTracker(String) - Method in class com.xilinx.rapidwright.util.RuntimeTrackerTree
 
createStringFromArray(Object[]) - Static method in class com.xilinx.rapidwright.util.MessageGenerator
Creates a simple string from an array of objects.
createStringMap(EDIFNetlist) - Static method in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
This method iterates over an entire EDIFNetlist to enumerate all Strings.
createTclScript(String, String, PlacerDirective, RouterDirective, String, Map.Entry<PBlock, String>) - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
createTestNet(Design, String, String[]) - Static method in class com.xilinx.rapidwright.util.CodeGenerator
 
createTileByRootNameCache(Device) - Static method in class com.xilinx.rapidwright.device.DeviceTools
 
createTileColumnPattern(List<TileTypeEnum>) - Static method in class com.xilinx.rapidwright.device.helper.TileColumnPattern
 
createTileColumnPattern(List<TileTypeEnum>, int, int) - Static method in class com.xilinx.rapidwright.device.helper.TileColumnPattern
Creates a TileColumnPattern from an existing list of tile types and uses the start and end as indicies to get a sublist of filteredTypes.
createTileType(String) - Static method in class com.xilinx.rapidwright.util.Utils
Returns a TileTypeEnum enum based on the given string s.
createTopInst(EDIFCellInst) - Static method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
 
createTopLevelPortInst(Design, String, PinType) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Creates (or gets if it already exists) a top level port instance.
createUniqueNet(EDIFCell, String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Create a unique net in the provided parent EDIFCell with the preferred provided name.
createUniquePort(EDIFCell, String, EDIFDirection, int) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Create a unique port in the provided parent EDIFCell with the preferred provided name.
createUnisimInst(EDIFCell, String, Unisim) - Static method in class com.xilinx.rapidwright.design.Design
Create a unisim EDIF cell instance.
createVerilogStub(Design, OutputStream) - Static method in class com.xilinx.rapidwright.design.RTLStubGenerator
 
createVHDLStub(Design, OutputStream) - Static method in class com.xilinx.rapidwright.design.RTLStubGenerator
 
createWrCmdPacket1(CMDCode) - Static method in class com.xilinx.rapidwright.bitstream.Bitstream
Convenience method to create a new type 1 packet writing to the command register
crossProduct(Point, Point, Point) - Static method in class com.xilinx.rapidwright.placer.blockplacer.SmallestEnclosingCircle
Performs a 2D cross product of OA and OB vectors.
currX - Variable in class com.xilinx.rapidwright.gui.TileScene
The current X location of the mouse
currY - Variable in class com.xilinx.rapidwright.gui.TileScene
The current Y location of the mouse
cursorPen - Variable in class com.xilinx.rapidwright.gui.TileScene
Pen used to draw tile cursor
customRouteSLRCrossingClock(Design, String, String[], String, String, boolean) - Static method in class com.xilinx.rapidwright.examples.SLRCrosserGenerator
 
CustomRouting - Class in com.xilinx.rapidwright.examples
 
CustomRouting() - Constructor for class com.xilinx.rapidwright.examples.CustomRouting
 
cygwinInstalled() - Static method in class com.xilinx.rapidwright.util.FileTools
Checks if Cygwin is installed on the system

D

d - Variable in class com.xilinx.rapidwright.timing.TimingGroup
Term "D" that is used within the delay calculation
DATA_FOLDER_NAME - Static variable in class com.xilinx.rapidwright.util.FileTools
Data folder name
DATA_ZIP - Static variable in class com.xilinx.rapidwright.util.Installer
 
dataVersionMap - Static variable in class com.xilinx.rapidwright.util.DataVersions
 
DataVersions - Class in com.xilinx.rapidwright.util
Generated on: Thu Nov 16 10:47:03 MST 2023 by: com.xilinx.rapidwright.release.UploadFilesToAzure Versioned list of data files to use in current RapidWright environment
DataVersions() - Constructor for class com.xilinx.rapidwright.util.DataVersions
 
dcpFilter - Static variable in class com.xilinx.rapidwright.gui.FileFilters
Design Checkpoint File Filter
DcpToInterchange - Class in com.xilinx.rapidwright.interchange
 
DcpToInterchange() - Constructor for class com.xilinx.rapidwright.interchange.DcpToInterchange
 
debug - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
debug - Variable in class com.xilinx.rapidwright.timing.TimingGraph
 
debug - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
DEBUG_CORE_PATH - Static variable in class com.xilinx.rapidwright.debug.DesignInstrumentor
 
DEBUG_LEVEL - Static variable in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer
 
DEBUG_LEVEL - Static variable in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
 
debugFile - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
debugPBlockGenerator() - Method in class com.xilinx.rapidwright.device.browser.PBlockGenDebugger
 
debugToString() - Method in class com.xilinx.rapidwright.design.Cell
 
DecomposeLUT - Class in com.xilinx.rapidwright.examples
 
DecomposeLUT() - Constructor for class com.xilinx.rapidwright.examples.DecomposeLUT
 
decompressGZIPFile(Path) - Static method in class com.xilinx.rapidwright.util.FileTools
Decompresses a gzipped file to a file with the '.gz' extension removed.
decrementDriver(RouteNode) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Decrements the driver count of a RouteNode instance.
decrementNonHierInstantiationCount() - Method in class com.xilinx.rapidwright.edif.EDIFCell
Atomically decrement instance count of this cell.
decrementUser(NetWrapper) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Decrements the connection count of a user that is represented by a NetWrapper instance corresponding to a Net instance.
deepEquals(Object) - Method in class com.xilinx.rapidwright.device.PIP
Include flags on PIPs as part of equality check
deepHashCode() - Method in class com.xilinx.rapidwright.device.PIP
Includes flags set on PIP as part of hash
DEFAULT_COMMAND_LOG_FILE - Static variable in class com.xilinx.rapidwright.util.Job
 
DEFAULT_COMMAND_NAME - Static variable in class com.xilinx.rapidwright.util.Job
 
DEFAULT_DENSE - Static variable in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
Default value for constructor parameter denseDesign
DEFAULT_EFFORT - Static variable in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
Default value for constructor parameter effort
DEFAULT_FOCUS_ON_WORST - Static variable in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
Default value for constructor parameter focusOnWorstModules
DEFAULT_LOG_EXTENSION - Static variable in class com.xilinx.rapidwright.util.Job
 
DEFAULT_MAX_TOKEN_LENGTH - Static variable in class com.xilinx.rapidwright.edif.EDIFTokenizer
 
DEFAULT_PROP_VALUE - Static variable in class com.xilinx.rapidwright.edif.EDIFNetlist
 
DEFAULT_REGION_SIZE - Static variable in class com.xilinx.rapidwright.placer.blockplacer.RegionBasedOverlapCache
Magic Size found by benchmarking
DEFAULT_SCRIPT_LOG_FILE - Static variable in class com.xilinx.rapidwright.util.Job
 
DEFAULT_SCRIPT_NAME - Static variable in class com.xilinx.rapidwright.util.Job
 
DEFAULT_VIEW - Static variable in class com.xilinx.rapidwright.edif.EDIFCell
 
DEFAULT_VIEWREF - Static variable in class com.xilinx.rapidwright.edif.EDIFCellInst
 
DefaultCellConnection() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnection
 
DefaultCellConnections() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnections
 
DefaultDesignMerger - Class in com.xilinx.rapidwright.design.merge
Provides a basic design merging behavior when merging designs.
DefaultDesignMerger() - Constructor for class com.xilinx.rapidwright.design.merge.DefaultDesignMerger
 
defaultSiteTypes - Static variable in class com.xilinx.rapidwright.design.tools.RelocationTools
 
deferSortAdd(EDIFPortInst) - Method in class com.xilinx.rapidwright.edif.EDIFPortInstList
Adds an element without sorting it and appending it to the end of the list.
delay - Variable in class com.xilinx.rapidwright.timing.TimingGroup
 
DelayEstimatorBase<T extends InterconnectInfo> - Class in com.xilinx.rapidwright.timing.delayestimator
The base class to implement a delay estimator.
DelayEstimatorBase(Device, T, boolean, int) - Constructor for class com.xilinx.rapidwright.timing.delayestimator.DelayEstimatorBase
Constructor from a device.
DelayModel - Interface in com.xilinx.rapidwright.timing
Provide delay lookup for logic and intra site delay.
delaysInfo() - Method in class com.xilinx.rapidwright.timing.TimingEdge
 
deleteFile(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Delete the file/folder in the file system called fileName
deleteFolder(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Delete the folder and recursively files and folders below
deleteFolderContents(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Deletes everything in the directory given by path, but does not delete the folder itself.
Design - Class in com.xilinx.rapidwright.design
The Design class is the central location that maintains the physical netlist and has hooks and references into the logical netlist.
Design() - Constructor for class com.xilinx.rapidwright.design.Design
Constructor which initializes all member data structures.
Design(String, String) - Constructor for class com.xilinx.rapidwright.design.Design
Creates a new design and populates it with the given design name and part name.
Design(EDIFNetlist) - Constructor for class com.xilinx.rapidwright.design.Design
Creates a new design and populates the logical netlist from the provided EDIFNetlist.
DESIGN - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
DesignComparator - Class in com.xilinx.rapidwright.design.compare
A physical design comparison helper class that will compare two designs' placement and routing information and keep track of the differences.
DesignComparator() - Constructor for class com.xilinx.rapidwright.design.compare.DesignComparator
 
DesignDiff - Class in com.xilinx.rapidwright.design.compare
Stores a design difference found from DesignComparator.
DesignDiff(DesignDiffType, Object, Object, Object, String) - Constructor for class com.xilinx.rapidwright.design.compare.DesignDiff
 
DesignDiffType - Enum in com.xilinx.rapidwright.design.compare
Types of design differences that are detected using DesignComparator.
DesignImplementationDiff - Class in com.xilinx.rapidwright.util
This class takes as input two DCPs, one which is derived from the other and reports the number of changes to the original implementation.
DesignImplementationDiff() - Constructor for class com.xilinx.rapidwright.util.DesignImplementationDiff
 
DesignInstrumentor - Class in com.xilinx.rapidwright.debug
This class serves as the main entry point for the BYU/Xilinx debug instrumentation flow within RapidWright.
DesignInstrumentor() - Constructor for class com.xilinx.rapidwright.debug.DesignInstrumentor
 
DesignTools - Class in com.xilinx.rapidwright.design
A collection of methods to operate on Design objects.
DesignTools() - Constructor for class com.xilinx.rapidwright.design.DesignTools
 
detachFromModule() - Method in class com.xilinx.rapidwright.design.Net
This method will detach and remove all reference of the net to a module or module instance.
detachFromModule() - Method in class com.xilinx.rapidwright.design.SiteInst
This method will detach and remove all reference of the instance to a module or module instance.
detachNetlist() - Method in class com.xilinx.rapidwright.design.Design
Removes all references to the EDIFNetlist.
detachSiteInst() - Method in class com.xilinx.rapidwright.design.SitePinInst
Removes any reference to the site instance from this pin and removes the pin from the pin map in the site instance.
Device - Class in com.xilinx.rapidwright.device
This is the main class that stores information about Xilinx devices.
device - Variable in class com.xilinx.rapidwright.gui.TileScene
The device corresponding to this scene
Device() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device
 
DEVICE_CACHE_FILE_SUFFIX - Static variable in class com.xilinx.rapidwright.util.FileTools
Suffix of the device part files
DEVICE_CACHE_FILE_VERSION - Static variable in class com.xilinx.rapidwright.device.Device
Version of the device cache file that contains the uncommon wire connections
DEVICE_FILE_SUFFIX - Static variable in class com.xilinx.rapidwright.util.FileTools
Suffix of the device part files
DEVICE_FILE_VERSION - Static variable in class com.xilinx.rapidwright.device.Device
This is the current device file version (saved in file to ensure proper compatibility)
DEVICE_FOLDER_NAME - Static variable in class com.xilinx.rapidwright.util.FileTools
Folder where device files are kept
DEVICE_MACROS_LIB - Static variable in class com.xilinx.rapidwright.interchange.LogNetlistWriter
 
DEVICE_PRIMITIVES_LIB - Static variable in class com.xilinx.rapidwright.interchange.LogNetlistWriter
 
DeviceBrowser - Class in com.xilinx.rapidwright.device.browser
This class creates an interactive Xilinx FPGA device browser for all of the devices currently installed on RapidWright.
DeviceBrowser(QWidget, String) - Constructor for class com.xilinx.rapidwright.device.browser.DeviceBrowser
Constructor which initializes the GUI and loads the first part found.
DeviceBrowser(QWidget) - Constructor for class com.xilinx.rapidwright.device.browser.DeviceBrowser
 
DeviceBrowserScene - Class in com.xilinx.rapidwright.device.browser
This class was written specifically for the DeviceBrowser class.
DeviceBrowserScene(Device, boolean, boolean, DeviceBrowser) - Constructor for class com.xilinx.rapidwright.device.browser.DeviceBrowserScene
 
DeviceLoader - Class in com.xilinx.rapidwright.tests
Simple tool to measure device load time.
DeviceLoader() - Constructor for class com.xilinx.rapidwright.tests.DeviceLoader
 
DeviceResources - Class in com.xilinx.rapidwright.interchange
 
DeviceResources() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources
 
DeviceResources.BELPinRef - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.BELPinRef.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.BELPinRef.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.BELPinRef.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.BEL - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.BEL.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.BEL.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.BEL.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.BEL.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.BELCategory - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.BELInverter - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.BELInverter.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.BELInverter.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.BELInverter.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.BELPin - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.BELPin.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.BELPin.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.BELPin.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellBelMapping - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellBelMapping.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellBelMapping.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellBelMapping.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellBelPinEntry - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellBelPinEntry.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellBelPinEntry.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellBelPinEntry.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellInversion - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellInversion.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellInversion.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellInversion.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellParameterDefinition - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellParameterDefinition.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellParameterDefinition.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellParameterDefinition.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellPinInversion - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellPinInversion.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellPinInversion.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellPinInversion.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellPinInversionParameter - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellPinInversionParameter.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellPinInversionParameter.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellPinInversionParameter.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CellPinInversionParameter.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ClockEdge - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CommonCellBelPinMaps - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CommonCellBelPinMaps.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CommonCellBelPinMaps.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CommonCellBelPinMaps.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.CellPinValue - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.DefaultCellConnection - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.DefaultCellConnection.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.DefaultCellConnection.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.DefaultCellConnection.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.DefaultCellConnections - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.DefaultCellConnections.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.DefaultCellConnections.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.DefaultCellConnections.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.GndNetName - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.GndNetName.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.GndNetName.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.GndNetName.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.GndNetName.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.NodeConstantSource - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.NodeConstantSource.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.NodeConstantSource.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.NodeConstantSource.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.SiteConstantSource - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.SiteConstantSource.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.SiteConstantSource.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.SiteConstantSource.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.SitePinConstantExceptions - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.SitePinConstantExceptions.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.SitePinConstantExceptions.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.SitePinConstantExceptions.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.VccNetName - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.VccNetName.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.VccNetName.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.VccNetName.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constants.VccNetName.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ConstantType - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.BELLocation - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.BELLocation.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.BELLocation.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.BELLocation.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.BELLocation.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.CellConstraint - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.CellConstraint.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.CellConstraint.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.CellConstraint.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.CellConstraint.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.ConstraintLocation - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.ConstraintLocation.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.ConstraintLocation.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.ConstraintLocation.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.ConstraintLocation.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.RoutedTag - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.RoutedTag.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.RoutedTag.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.RoutedTag.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.RoutedTagPin - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.RoutedTagPin.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.RoutedTagPin.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.RoutedTagPin.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.RoutedTagPort - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.RoutedTagPort.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.RoutedTagPort.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.RoutedTagPort.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.State - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.State.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.State.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.State.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.Tag - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.Tag.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.Tag.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.Tag.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.Tag.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.TagPair - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.TagPair.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.TagPair.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.TagPair.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.TagPair.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.TagStates - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.TagStates.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.TagStates.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.TagStates.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Constraints.TagStates.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModel - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModel.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModel.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModel.Fast - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModel.Fast.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModel.Fast.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModel.Fast.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModel.Fast.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModel.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModel.Slow - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModel.Slow.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModel.Slow.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModel.Slow.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModel.Slow.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModelValues - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModelValues.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModelValues.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModelValues.Max - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModelValues.Max.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModelValues.Max.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModelValues.Max.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModelValues.Max.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModelValues.Min - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModelValues.Min.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModelValues.Min.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModelValues.Min.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModelValues.Min.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModelValues.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModelValues.Typ - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModelValues.Typ.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModelValues.Typ.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModelValues.Typ.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.CornerModelValues.Typ.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutBel - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutBel.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutBel.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutBel.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutCell - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutCell.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutCell.Equation - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutCell.Equation.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutCell.Equation.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutCell.Equation.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutCell.Equation.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutCell.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutCell.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutElement - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutElement.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutElement.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutElement.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutElements - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutElements.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutElements.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.LutElements.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.LutDefinitions.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Node - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Node.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Node.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Node.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.NodeTiming - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.NodeTiming.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.NodeTiming.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.NodeTiming.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.Grade - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.Grade.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.Grade.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.Grade.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.PackagePin - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.PackagePin.Bel - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.PackagePin.Bel.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.PackagePin.Bel.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.PackagePin.Bel.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.PackagePin.Bel.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.PackagePin.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.PackagePin.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.PackagePin.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.PackagePin.Site - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.PackagePin.Site.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.PackagePin.Site.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.PackagePin.Site.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.PackagePin.Site.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Package.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterCellBelPinMaps - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterCellBelPinMaps.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterCellBelPinMaps.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterCellBelPinMaps.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterDefinition - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterDefinition.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterDefinition.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterDefinition.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterDefinitions - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterDefinitions.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterDefinitions.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterDefinitions.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterFormat - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterMapEntry - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterMapEntry.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterMapEntry.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterMapEntry.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterMapRule - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterMapRule.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterMapRule.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterMapRule.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterMapRule.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterSiteTypeBelEntry - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterSiteTypeBelEntry.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterSiteTypeBelEntry.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParameterSiteTypeBelEntry.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParentPins - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParentPins.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParentPins.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.ParentPins.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PinDelay - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PinDelay.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PinDelay.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PinDelay.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PinDelay.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PinsDelay - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PinsDelay.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PinsDelay.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PinsDelay.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PinsDelayType - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PIP - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PIP.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PIP.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PIP.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PIP.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PIPTiming - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PIPTiming.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PIPTiming.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PIPTiming.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PrimToMacroExpansion - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PrimToMacroExpansion.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PrimToMacroExpansion.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PrimToMacroExpansion.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PrimToMacroExpansion.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PseudoCell - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PseudoCell.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PseudoCell.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.PseudoCell.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Site - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Site.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Site.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Site.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SitePin - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SitePin.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SitePin.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SitePin.Model - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SitePin.Model.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SitePin.Model.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SitePin.Model.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SitePin.Model.Which - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SitePin.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SitePIP - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SitePIP.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SitePIP.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SitePIP.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SiteType - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SiteType.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SiteType.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SiteType.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SiteTypeBelEntry - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SiteTypeBelEntry.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SiteTypeBelEntry.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SiteTypeBelEntry.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SiteTypeInTileType - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SiteTypeInTileType.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SiteTypeInTileType.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SiteTypeInTileType.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SiteWire - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SiteWire.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SiteWire.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.SiteWire.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Tile - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Tile.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Tile.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Tile.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.TileType - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.TileType.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.TileType.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.TileType.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Wire - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Wire.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Wire.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.Wire.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.WireCategory - Enum in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.WireConstantSources - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.WireConstantSources.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.WireConstantSources.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.WireConstantSources.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.WireType - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.WireType.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.WireType.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Device.WireType.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.HashSet - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.HashSet.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.HashSet.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.HashSet.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.NodeTimingRef - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.NodeTimingRef.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.NodeTimingRef.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.NodeTimingRef.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.PIPTimingRef - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.PIPTimingRef.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.PIPTimingRef.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.PIPTimingRef.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.Schemas - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.SiteTypeRef - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.SiteTypeRef.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.SiteTypeRef.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.SiteTypeRef.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.StringRef - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.StringRef.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.StringRef.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.StringRef.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.TileTypeRef - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.TileTypeRef.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.TileTypeRef.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.TileTypeRef.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.WireRef - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.WireRef.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.WireRef.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.WireRef.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.WireTypeRef - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.WireTypeRef.Builder - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.WireTypeRef.Factory - Class in com.xilinx.rapidwright.interchange
 
DeviceResources.WireTypeRef.Reader - Class in com.xilinx.rapidwright.interchange
 
DeviceResourcesExample - Class in com.xilinx.rapidwright.interchange
 
DeviceResourcesExample() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResourcesExample
 
DeviceResourcesVerifier - Class in com.xilinx.rapidwright.interchange
 
DeviceResourcesVerifier() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResourcesVerifier
 
DeviceResourcesWriter - Class in com.xilinx.rapidwright.interchange
 
DeviceResourcesWriter() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResourcesWriter
 
DeviceTools - Class in com.xilinx.rapidwright.device
 
DeviceTools() - Constructor for class com.xilinx.rapidwright.device.DeviceTools
 
DeviceTools - Class in com.xilinx.rapidwright.util
A collection of utility functions that operate on a device.
DeviceTools() - Constructor for class com.xilinx.rapidwright.util.DeviceTools
 
DIRECTION - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
disconnectDesign() - Method in class com.xilinx.rapidwright.design.Module
Sets the design in all the module's instances to null
disconnectNet(Design, EDIFHierPortInst...) - Static method in class com.xilinx.rapidwright.eco.ECOTools
Given a list of EDIFHierPortInst objects, disconnect these pins from their current nets.
disconnectNet(Design, List<EDIFHierPortInst>) - Static method in class com.xilinx.rapidwright.eco.ECOTools
Given a list of EDIFHierPortInst objects, disconnect these pins from their current nets.
disconnectNet(Design, List<EDIFHierPortInst>, Map<Net, Set<SitePinInst>>) - Static method in class com.xilinx.rapidwright.eco.ECOTools
Given a list of EDIFHierPortInst objects, disconnect these pins from their current nets.
disconnectNetPath(Design, List<String>) - Static method in class com.xilinx.rapidwright.eco.ECOTools
Given a list of strings with one more space-separated pins, disconnect these pins from their current nets.
disconnectNetPath(Design, List<String>, Map<Net, Set<SitePinInst>>) - Static method in class com.xilinx.rapidwright.eco.ECOTools
Given a list of strings with one more space-separated pins, disconnect these pins from their current nets.
dist - Variable in class com.xilinx.rapidwright.timing.TimingGroup
This is the distance of the TimingGroup corresponding to its wire length type.
doDump(DesignT, PrintWriter, BiPredicate<InstanceT, DesignT>) - Method in class com.xilinx.rapidwright.debug.DotGraphDumper
Dump the design to a PrintWriter.
doDump(DesignT, Path, BiPredicate<InstanceT, DesignT>) - Method in class com.xilinx.rapidwright.debug.DotGraphDumper
Dump the design to a file.
DONE_FILE_PATTERN - Static variable in class com.xilinx.rapidwright.ipi.BlockCreator
 
DONE_FILE_PREFIX - Static variable in class com.xilinx.rapidwright.ipi.BlockCreator
 
DONT_TOUCH - Static variable in class com.xilinx.rapidwright.edif.EDIFTools
 
doParse(boolean) - Method in class com.xilinx.rapidwright.edif.ParallelEDIFParserWorker
 
DotEdifDumper - Class in com.xilinx.rapidwright.debug
Dump an EDIF cell's contents to a Graphviz Dot Graph
DotEdifDumper() - Constructor for class com.xilinx.rapidwright.debug.DotEdifDumper
 
DotEdifDumper(boolean) - Constructor for class com.xilinx.rapidwright.debug.DotEdifDumper
 
DotGraphDumper<InstanceT,PortT,PortTemplateT,NetT,DesignT> - Class in com.xilinx.rapidwright.debug
 
DotModuleImplsDumper - Class in com.xilinx.rapidwright.placer.blockplacer
Dump a Design's ModuleImplsInst representation to a Graphviz Dot Graph
DotModuleImplsDumper(boolean) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.DotModuleImplsDumper
 
DotModuleImplsDumper.ModuleImplsDumpData - Class in com.xilinx.rapidwright.placer.blockplacer
 
DotPhysicalDumper - Class in com.xilinx.rapidwright.debug
Dump a Design's physical representation to a Graphviz Dot Graph
DotPhysicalDumper() - Constructor for class com.xilinx.rapidwright.debug.DotPhysicalDumper
 
DotPhysicalDumper(boolean) - Constructor for class com.xilinx.rapidwright.debug.DotPhysicalDumper
 
dotProduct(Point, Point, Point) - Static method in class com.xilinx.rapidwright.placer.blockplacer.SmallestEnclosingCircle
Computes the dot product of the vectors ba, bc from the points a, b, c.
DotSiteDumper - Class in com.xilinx.rapidwright.debug
Dump BELs and connections from a single Site or SiteInst to a Graphviz Dot Graph
DotSiteDumper() - Constructor for class com.xilinx.rapidwright.debug.DotSiteDumper
 
DotSiteDumper(boolean) - Constructor for class com.xilinx.rapidwright.debug.DotSiteDumper
 
downloadFile(String, String) - Static method in class com.xilinx.rapidwright.util.Installer
Downloads a file specified by the URL to the local file dstFileName.
drawBackground(QPainter, QRectF) - Method in class com.xilinx.rapidwright.examples.tilebrowser.PartTileBrowserScene
 
drawBackground(QPainter, QRectF) - Method in class com.xilinx.rapidwright.gui.PBlockScene
 
drawBackground(QPainter, QRectF) - Method in class com.xilinx.rapidwright.gui.TileScene
 
drawConnectingWires(Tile, int) - Method in class com.xilinx.rapidwright.device.browser.DeviceBrowserScene
 
drawnTiles - Variable in class com.xilinx.rapidwright.gui.TileScene
When hiding tiles, this contains the grid of drawn tiles
drawPartitionLine(FloorPlanScene) - Method in class com.xilinx.rapidwright.placer.handplacer.PartitionLine
 
drawWire(Tile, Tile) - Method in class com.xilinx.rapidwright.device.browser.DeviceBrowserScene
 
drawWire(Tile, int, Tile, int) - Method in class com.xilinx.rapidwright.device.browser.DeviceBrowserScene
 
DRC - Class in com.xilinx.rapidwright.design
Parent DRC that executes a list of child DRCs, returning the sum of all failed checks.
DRC() - Constructor for class com.xilinx.rapidwright.design.DRC
 
DSPTimingData - Class in com.xilinx.rapidwright.timing
A DSPTimingData instance stores logic delay of a DSP block in the design.
DSPTimingData(String, String) - Constructor for class com.xilinx.rapidwright.timing.DSPTimingData
 
dspTypes - Static variable in class com.xilinx.rapidwright.util.Utils
 
dspx - Static variable in class com.xilinx.rapidwright.examples.PolynomialGenerator
 
dspy - Static variable in class com.xilinx.rapidwright.examples.PolynomialGenerator
 
DUMMY - Static variable in class com.xilinx.rapidwright.bitstream.Packet
A 'Dummy' packet
DUMMY_WORD - Static variable in class com.xilinx.rapidwright.bitstream.BitstreamHeader
 
dump(Path, EDIFCell, BiPredicate<EDIFCellInst, EDIFCell>) - Static method in class com.xilinx.rapidwright.debug.DotEdifDumper
Dump an edif cell to a file while filtering the cellInsts that are shown
dump(Path, EDIFCell, Predicate<EDIFCellInst>) - Static method in class com.xilinx.rapidwright.debug.DotEdifDumper
Dump an edif cell to a file while filtering the cellInsts that are shown
dump(Path, EDIFCell) - Static method in class com.xilinx.rapidwright.debug.DotEdifDumper
Dump an edif cell to a file
dump(Path, Design, BiPredicate<SiteInst, Design>) - Static method in class com.xilinx.rapidwright.debug.DotPhysicalDumper
Dump a physical netlist to a file while filtering the SiteInsts that are shown
dump(Path, Design, Predicate<SiteInst>) - Static method in class com.xilinx.rapidwright.debug.DotPhysicalDumper
Dump a physical netlist to a file while filtering the SiteInsts that are shown
dump(Path, Design) - Static method in class com.xilinx.rapidwright.debug.DotPhysicalDumper
Dump a physical netlist to a file
dump(Path, SiteInst, BiPredicate<BEL, SiteInst>) - Static method in class com.xilinx.rapidwright.debug.DotSiteDumper
Dump a SiteInst to a file while filtering the cellInsts that are shown
dump(Path, SiteInst, Predicate<BEL>) - Static method in class com.xilinx.rapidwright.debug.DotSiteDumper
Dump a SiteInst to a file while filtering the cellInsts that are shown
dump(Path, SiteInst) - Static method in class com.xilinx.rapidwright.debug.DotSiteDumper
Dump a SiteInst to a file
dump(Path, Site, BiPredicate<BEL, SiteInst>) - Static method in class com.xilinx.rapidwright.debug.DotSiteDumper
Dump a Site to a file while filtering the cellInsts that are shown
dump(Path, Site, Predicate<BEL>) - Static method in class com.xilinx.rapidwright.debug.DotSiteDumper
Dump a Site to a file while filtering the cellInsts that are shown
dump(Path, Site) - Static method in class com.xilinx.rapidwright.debug.DotSiteDumper
Dump a Site to a file
dump(Path) - Method in class com.xilinx.rapidwright.placer.blockplacer.LinearCongruentialGenerator
 
DUMP_SYNTH_DCP_ONLY - Static variable in class com.xilinx.rapidwright.ipi.BlockStitcher
 

E

ECOPlacementHelper - Class in com.xilinx.rapidwright.eco
Class for aiding with ECO placement activities.
ECOPlacementHelper(Design, Map<Net, Set<SitePinInst>>) - Constructor for class com.xilinx.rapidwright.eco.ECOPlacementHelper
Constructor for ECOPlacementHelper class.
ECOTools - Class in com.xilinx.rapidwright.eco
A collection of methods for performing ECO operations.
ECOTools() - Constructor for class com.xilinx.rapidwright.eco.ECOTools
 
EDIF - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
EDIF_BINARY_FILE_TAG - Static variable in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
 
EDIF_BINARY_FILE_VERSION - Static variable in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
 
EDIF_DIR_INOUT_MASK - Static variable in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
 
EDIF_DIR_INPUT_MASK - Static variable in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
 
EDIF_DIR_OUTPUT_MASK - Static variable in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
 
EDIF_GZIP_COMPRESSION_RATIO - Static variable in class com.xilinx.rapidwright.edif.ParallelEDIFParser
Estimated ratio of EDIF to gzipped EDIF file size, used in calculating the number of thread workers for parallel EDIF parsing
EDIF_HAS_OWNER - Static variable in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
 
EDIF_HIER_SEP - Static variable in class com.xilinx.rapidwright.edif.EDIFTools
 
EDIF_LIBRARY_HDI_PRIMITIVES_NAME - Static variable in class com.xilinx.rapidwright.edif.EDIFTools
 
EDIF_LIBRARY_WORK_NAME - Static variable in class com.xilinx.rapidwright.edif.EDIFTools
 
EDIF_MACRO_LIB - Static variable in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
 
EDIF_NAME_FLAG - Static variable in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
 
EDIF_NULL_INST - Static variable in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
 
EDIF_PART_PROP - Static variable in class com.xilinx.rapidwright.edif.EDIFTools
 
EDIF_PROP_FLAG - Static variable in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
 
EDIF_PROP_TYPE_BIT - Static variable in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
 
EDIF_PROP_VALUE_MASK - Static variable in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
 
EDIF_RENAME_MASK - Static variable in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
 
EDIF_SAME_LIB_FLAG - Static variable in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
 
EDIF_UNIQUE_VIEW_FLAG - Static variable in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
 
EDIFCell - Class in com.xilinx.rapidwright.edif
Represent a logical cell in an EDIF netlist.
EDIFCell(EDIFLibrary, String) - Constructor for class com.xilinx.rapidwright.edif.EDIFCell
 
EDIFCell(EDIFLibrary, EDIFCell) - Constructor for class com.xilinx.rapidwright.edif.EDIFCell
Shallow Copy constructor - Creates a new EDIFCell object, EDIFCell contents point to orig.
EDIFCell(EDIFLibrary, EDIFCell, String) - Constructor for class com.xilinx.rapidwright.edif.EDIFCell
Full Deep Copy Constructor with rename
EDIFCellInst - Class in com.xilinx.rapidwright.edif
A cell instance in a logical (EDIF) netlist.
EDIFCellInst(String, EDIFCell, EDIFCell) - Constructor for class com.xilinx.rapidwright.edif.EDIFCellInst
 
EDIFCellInst(EDIFCellInst, EDIFCell) - Constructor for class com.xilinx.rapidwright.edif.EDIFCellInst
Copy constructor.
EDIFChange - Class in com.xilinx.rapidwright.edif
Represents a unit of change within an EDIFCell.
EDIFChange(EDIFChangeType, String) - Constructor for class com.xilinx.rapidwright.edif.EDIFChange
 
EDIFChangeNet - Class in com.xilinx.rapidwright.edif
Keeps track of an EDIFNet change such as an add or removal of a EDIFPortInst.
EDIFChangeNet(EDIFChangeType, String, String, String) - Constructor for class com.xilinx.rapidwright.edif.EDIFChangeNet
 
EDIFChangeType - Enum in com.xilinx.rapidwright.edif
Enumerates a set of EDIFCell modification types.
EDIFDesign - Class in com.xilinx.rapidwright.edif
Placeholder class for an EDIF design.
EDIFDesign(String) - Constructor for class com.xilinx.rapidwright.edif.EDIFDesign
 
EDIFDiff - Class in com.xilinx.rapidwright.edif.compare
This is a helper class for EDIFNetlistComparator that encapsulates the reference information for a difference found between two netlists.
EDIFDiff(EDIFDiffType, Object, Object, EDIFCell, EDIFLibrary, String) - Constructor for class com.xilinx.rapidwright.edif.compare.EDIFDiff
 
EDIFDiffType - Enum in com.xilinx.rapidwright.edif.compare
An enumeration of all the checked difference types between two netlists in EDIFNetlistComparator
EDIFDirection - Enum in com.xilinx.rapidwright.edif
Provides basic directional options for ports.
EDIFHierCellInst - Class in com.xilinx.rapidwright.edif
An EDIFCellInst with its hierarchy, described by all the EDIFCellInsts that sit above it within the netlist.
EDIFHierNet - Class in com.xilinx.rapidwright.edif
Combines an EDIFNet with a full hierarchical instance name to uniquely identify a net in a netlist.
EDIFHierNet(EDIFHierCellInst, EDIFNet) - Constructor for class com.xilinx.rapidwright.edif.EDIFHierNet
Constructor
EDIFHierPortInst - Class in com.xilinx.rapidwright.edif
Combines an EDIFHierPortInst with a full hierarchical instance name to uniquely identify a port instance in a netlist.
EDIFHierPortInst(EDIFHierCellInst, EDIFPortInst) - Constructor for class com.xilinx.rapidwright.edif.EDIFHierPortInst
Constructor
edifKeywordSet - Static variable in class com.xilinx.rapidwright.edif.EDIFTools
 
EDIFLEVEL - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
EDIFLibrary - Class in com.xilinx.rapidwright.edif
Keeps track of a set of EDIFCell objects that are part of a netlist.
EDIFLibrary(String) - Constructor for class com.xilinx.rapidwright.edif.EDIFLibrary
 
EDIFName - Class in com.xilinx.rapidwright.edif
This class serves as the universal common ancestor for most all EDIF netlist objects.
EDIFName(String) - Constructor for class com.xilinx.rapidwright.edif.EDIFName
 
EDIFName(EDIFName) - Constructor for class com.xilinx.rapidwright.edif.EDIFName
Copy constructor
EDIFNet - Class in com.xilinx.rapidwright.edif
Represents a net within an EDIF netlist.
EDIFNet(String, EDIFCell) - Constructor for class com.xilinx.rapidwright.edif.EDIFNet
 
EDIFNet(EDIFNet) - Constructor for class com.xilinx.rapidwright.edif.EDIFNet
Copy constructor, does not copy portInsts
EDIFNetlist - Class in com.xilinx.rapidwright.edif
Top level object for a (logical) EDIF netlist.
EDIFNetlist(String) - Constructor for class com.xilinx.rapidwright.edif.EDIFNetlist
 
EDIFNetlistComparator - Class in com.xilinx.rapidwright.edif.compare
This is a helper class designed to compare two EDIFNetlists for differences.
EDIFNetlistComparator() - Constructor for class com.xilinx.rapidwright.edif.compare.EDIFNetlistComparator
 
EDIFParseException - Exception in com.xilinx.rapidwright.edif
 
EDIFParseException(EDIFToken, String) - Constructor for exception com.xilinx.rapidwright.edif.EDIFParseException
 
EDIFParseException(String) - Constructor for exception com.xilinx.rapidwright.edif.EDIFParseException
 
EDIFParseException(String, Throwable) - Constructor for exception com.xilinx.rapidwright.edif.EDIFParseException
 
EDIFParser - Class in com.xilinx.rapidwright.edif
An EDIF parser created especially for RapidWright.
EDIFParser(Path) - Constructor for class com.xilinx.rapidwright.edif.EDIFParser
 
EDIFParser(String) - Constructor for class com.xilinx.rapidwright.edif.EDIFParser
 
EDIFParser(InputStream) - Constructor for class com.xilinx.rapidwright.edif.EDIFParser
 
EDIFPort - Class in com.xilinx.rapidwright.edif
Represents a port on an EDIFCell within an EDIF netlist.
EDIFPort(String, EDIFDirection, int) - Constructor for class com.xilinx.rapidwright.edif.EDIFPort
 
EDIFPort(EDIFPort) - Constructor for class com.xilinx.rapidwright.edif.EDIFPort
Copy Constructor
EDIFPortCache - Class in com.xilinx.rapidwright.edif
Cache for speeding up EDIFCell.getPortByLegalName(String, EDIFReadLegalNameCache) when querying many ports
EDIFPortCache(EDIFCell, EDIFReadLegalNameCache) - Constructor for class com.xilinx.rapidwright.edif.EDIFPortCache
 
EDIFPortInst - Class in com.xilinx.rapidwright.edif
Represents an instance of a port on an EDIFCellInst.
EDIFPortInst(EDIFPort, EDIFNet) - Constructor for class com.xilinx.rapidwright.edif.EDIFPortInst
 
EDIFPortInst(EDIFPortInst) - Constructor for class com.xilinx.rapidwright.edif.EDIFPortInst
Copy constructor
EDIFPortInst(EDIFPort, EDIFNet, int) - Constructor for class com.xilinx.rapidwright.edif.EDIFPortInst
 
EDIFPortInst(EDIFPort, EDIFNet, EDIFCellInst) - Constructor for class com.xilinx.rapidwright.edif.EDIFPortInst
Constructor to create a single bit port ref and associate it with its net and instance.
EDIFPortInst(EDIFPort, EDIFNet, EDIFCellInst, boolean) - Constructor for class com.xilinx.rapidwright.edif.EDIFPortInst
Constructor to create a single bit port ref and associate it with its net and instance.
EDIFPortInst(EDIFPort, EDIFNet, int, EDIFCellInst) - Constructor for class com.xilinx.rapidwright.edif.EDIFPortInst
Constructor to create a new port ref on the provided instance and connect it to the provided net
EDIFPortInst(EDIFPort, EDIFNet, int, EDIFCellInst, boolean) - Constructor for class com.xilinx.rapidwright.edif.EDIFPortInst
Constructor to create a new port ref on the provided instance and connect it to the provided net
EDIFPortInstList - Class in com.xilinx.rapidwright.edif
Customized ArrayList for the EDIFNet and EDIFCellInst classes.
EDIFPortInstList() - Constructor for class com.xilinx.rapidwright.edif.EDIFPortInstList
 
EDIFPropertyObject - Class in com.xilinx.rapidwright.edif
All EDIF netlist objects that can possess properties inherit from this class.
EDIFPropertyObject(String) - Constructor for class com.xilinx.rapidwright.edif.EDIFPropertyObject
 
EDIFPropertyObject(EDIFPropertyObject) - Constructor for class com.xilinx.rapidwright.edif.EDIFPropertyObject
 
EDIFPropertyValue - Class in com.xilinx.rapidwright.edif
Represents the EDIF property value construct.
EDIFPropertyValue() - Constructor for class com.xilinx.rapidwright.edif.EDIFPropertyValue
 
EDIFPropertyValue(String, EDIFValueType, String) - Constructor for class com.xilinx.rapidwright.edif.EDIFPropertyValue
 
EDIFPropertyValue(String, EDIFValueType) - Constructor for class com.xilinx.rapidwright.edif.EDIFPropertyValue
 
EDIFPropertyValue(EDIFPropertyValue) - Constructor for class com.xilinx.rapidwright.edif.EDIFPropertyValue
 
EDIFReadLegalNameCache - Class in com.xilinx.rapidwright.edif
Helper class that keeps track of EDIF Renames during parsing.
EDIFTokenizer - Class in com.xilinx.rapidwright.edif
Tokenize an InputStream containing an EDIF.
EDIFTokenizer(Path, InputStream, StringPool, int) - Constructor for class com.xilinx.rapidwright.edif.EDIFTokenizer
 
EDIFTokenizer(Path, InputStream, StringPool) - Constructor for class com.xilinx.rapidwright.edif.EDIFTokenizer
 
EdifToLogicalNetlist - Class in com.xilinx.rapidwright.interchange
Example code that performs conversion from a EDIF to LogicalNetlist.
EdifToLogicalNetlist() - Constructor for class com.xilinx.rapidwright.interchange.EdifToLogicalNetlist
 
EDIFTools - Class in com.xilinx.rapidwright.edif
A collection of utility methods for extracting information from BYU EDIF tool netlists.
EDIFTools() - Constructor for class com.xilinx.rapidwright.edif.EDIFTools
 
EDIFValueType - Enum in com.xilinx.rapidwright.edif
Represents the possible value types in EDIF.
EDIFVERSION - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
EDIFWriteLegalNameCache<T> - Class in com.xilinx.rapidwright.edif
Helper class that keeps track of EDIF Renames during writing.
elaboratePhysicalNets() - Method in class com.xilinx.rapidwright.router.Router
Assumes design is fully placed and that all site nets are routed but that not all physical nets (Net) or physical pins (SitePinInst) have been created.
emitter - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
emitTile(Tile) - Method in class com.xilinx.rapidwright.device.browser.PBlockGenEmitter
 
empty - Static variable in class com.xilinx.rapidwright.design.tools.LUTTools
 
EMPTY - Static variable in class com.xilinx.rapidwright.edif.EDIFPortInstList
 
EMPTY_ARRAY - Static variable in class com.xilinx.rapidwright.rwroute.RouteNode
Memoized static array for use by Collection.toArray() or similar
EMPTY_ARRAY - Static variable in class com.xilinx.rapidwright.timing.TimingGroup
Memoized static array for use by Collection.toArray() or similar
emptyIntArray - Static variable in class com.xilinx.rapidwright.util.FileTools
Static empty array to save on memory
emptyShortArray - Static variable in class com.xilinx.rapidwright.util.FileTools
Static empty array to save on memory
emptyStringArray - Static variable in class com.xilinx.rapidwright.util.FileTools
Static empty array to save on memory
ENABLE_LUT_INPUT_SWAP - Static variable in class com.xilinx.rapidwright.router.Router
 
ENABLE_RIPUP - Static variable in class com.xilinx.rapidwright.router.Router
 
end - Variable in class com.xilinx.rapidwright.placer.handplacer.PartitionLine
 
END_BLOCK - Static variable in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
END_BLOCKS - Static variable in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
endTileXCoordinate - Variable in class com.xilinx.rapidwright.rwroute.RouteNodeInfo
 
endTileYCoordinate - Variable in class com.xilinx.rapidwright.rwroute.RouteNodeInfo
 
enlargeBoundingBox(int, int) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
ensureCellInLibraries(EDIFNetlist, EDIFCell) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
ensureCorrectDataFile(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Ensures that the specified RapidWright data file is the correct version and present based on the MD5 hash in @link DataVersions
ensureCorrectPartInEDIF(EDIFNetlist, String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
ensureDataFilesAreStaticInstallFriendly(String...) - Static method in class com.xilinx.rapidwright.util.FileTools
Downloads and generates all potential data files to make this RapidWright installation static friendly.
ensureDataFilesAreStaticInstallFriendly() - Static method in class com.xilinx.rapidwright.util.FileTools
Downloads and generates all potential data files to make this RapidWright installation static friendly.
ensureDeviceCacheFileIsGenerated() - Method in class com.xilinx.rapidwright.device.Device
This will generate the device cache file that contains uncommonly used nodes.
ensureSize(int) - Method in class com.xilinx.rapidwright.interchange.IdentityEnumerator
 
ensureSize(int) - Method in class com.xilinx.rapidwright.interchange.LongEnumerator
 
ensureSize(int) - Method in class com.xilinx.rapidwright.interchange.StringEnumerator
 
enterHierarchicalName(StringBuilder) - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
 
enterToRect(SimpleTileRectangle) - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort
 
enterToRect(SimpleTileRectangle) - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort.InstPort
 
enterToRect(SimpleTileRectangle) - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort.SitePinInstPort
 
Entry() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry
 
EnumerateCellBelMapping - Class in com.xilinx.rapidwright.interchange
 
EnumerateCellBelMapping() - Constructor for class com.xilinx.rapidwright.interchange.EnumerateCellBelMapping
 
EnumerateCellBelMapping.StringCompare - Class in com.xilinx.rapidwright.interchange
 
EnumerateIOExpansions - Class in com.xilinx.rapidwright.device
 
EnumerateIOExpansions() - Constructor for class com.xilinx.rapidwright.device.EnumerateIOExpansions
 
equals(Object) - Method in class com.xilinx.rapidwright.bitstream.BitLocation
Checks if this is equal to another bit location, bit index, frame index and the inverted flag must all be equal to get true.
equals(Object) - Method in class com.xilinx.rapidwright.bitstream.Packet
Checks if this packet is equal (header and data match) with the provided packet.
equals(Object) - Method in class com.xilinx.rapidwright.design.AbstractModuleInst
 
equals(Object) - Method in class com.xilinx.rapidwright.design.AltPinMapping
 
equals(Object) - Method in class com.xilinx.rapidwright.design.Cell
 
equals(Object) - Method in class com.xilinx.rapidwright.design.Module
 
equals(Object) - Method in class com.xilinx.rapidwright.design.ModulePlacement
 
equals(Object) - Method in class com.xilinx.rapidwright.design.Net
Checks if two nets are equal by name.
equals(Object) - Method in class com.xilinx.rapidwright.design.PinSwap
 
equals(Object) - Method in class com.xilinx.rapidwright.design.Port
Checks if this and obj are equal ports by comparing port name, instance name and pin name.
equals(Object) - Method in class com.xilinx.rapidwright.design.RelocatableTileRectangle
 
equals(Object) - Method in class com.xilinx.rapidwright.design.SiteInst
Checks if this instance is equal to obj.
equals(Object) - Method in class com.xilinx.rapidwright.design.SitePinInst
Checks if obj is a pin and if equal to this pin by comparing instance, direction and pinName.
equals(Object) - Method in class com.xilinx.rapidwright.design.VivadoProp
 
equals(Object) - Method in class com.xilinx.rapidwright.device.BEL
 
equals(Object) - Method in class com.xilinx.rapidwright.device.BELPin
 
equals(Object) - Method in class com.xilinx.rapidwright.device.ClockRegion
 
equals(Object) - Method in class com.xilinx.rapidwright.device.Grade
 
equals(Object) - Method in class com.xilinx.rapidwright.device.helper.TileSources
 
equals(Object) - Method in class com.xilinx.rapidwright.device.IOBank
Checks if the IOBank is equal to another IOBank based on name equality
equals(WireInterface) - Method in class com.xilinx.rapidwright.device.Node
Checks equality (based on tile and wire values) between this node and another WireInterface
equals(Node) - Method in class com.xilinx.rapidwright.device.Node
 
equals(Object) - Method in class com.xilinx.rapidwright.device.Node
 
equals(Object) - Method in class com.xilinx.rapidwright.device.PackagePin
 
equals(Object) - Method in class com.xilinx.rapidwright.device.PIP
 
equals(Object) - Method in class com.xilinx.rapidwright.device.PIPWires
 
equals(Object) - Method in class com.xilinx.rapidwright.device.PseudoPIPHelper
 
equals(Object) - Method in class com.xilinx.rapidwright.device.Site
 
equals(Object) - Method in class com.xilinx.rapidwright.device.SitePin
 
equals(Object) - Method in class com.xilinx.rapidwright.device.SitePIP
 
equals(Object) - Method in class com.xilinx.rapidwright.device.Tile
 
equals(WireInterface) - Method in class com.xilinx.rapidwright.device.Wire
Checks equality (based on tile and wire values) between this Wire and another WireInterface
equals(Object) - Method in class com.xilinx.rapidwright.device.Wire
 
equals(WireInterface) - Method in interface com.xilinx.rapidwright.device.WireInterface
Checks equality (based on tile and wire values) between this and another WireInterface
equals(Object) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
equals(Object) - Method in class com.xilinx.rapidwright.edif.EDIFCellInst
 
equals(Object) - Method in class com.xilinx.rapidwright.edif.EDIFChange
 
equals(Object) - Method in class com.xilinx.rapidwright.edif.EDIFChangeNet
 
equals(Object) - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
 
equals(Object) - Method in class com.xilinx.rapidwright.edif.EDIFHierNet
 
equals(Object) - Method in class com.xilinx.rapidwright.edif.EDIFHierPortInst
 
equals(Object) - Method in class com.xilinx.rapidwright.edif.EDIFName
 
equals(Object) - Method in class com.xilinx.rapidwright.edif.EDIFNet
 
equals(Object) - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
equals(Object) - Method in class com.xilinx.rapidwright.edif.EDIFPropertyValue
 
equals(Object) - Method in class com.xilinx.rapidwright.interchange.SiteBELPin
 
equals(Object) - Method in class com.xilinx.rapidwright.interchange.SiteSitePIP
 
equals(Object) - Method in class com.xilinx.rapidwright.placer.blockplacer.PathPort
 
equals(Object) - Method in class com.xilinx.rapidwright.placer.blockplacer.Point
 
equals(Object) - Method in class com.xilinx.rapidwright.placer.handplacer.GUIMultiNetLine
 
equals(Object) - Method in class com.xilinx.rapidwright.router.RouteNode
 
equals(Node) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
 
equals(Object) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
 
equals(Object) - Method in class com.xilinx.rapidwright.timing.TimingEdge
 
equals(Object) - Method in class com.xilinx.rapidwright.timing.TimingVertex
Implements the comparable object interface so that vertices can be compared.
equals(Object) - Method in class com.xilinx.rapidwright.util.Pair
 
equals(Object) - Method in class com.xilinx.rapidwright.util.RouteStatus
 
Equation() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation
 
errorIfFileDoesNotExist(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Convenience assertion to assert that a file exists
EV_ROUTER - Static variable in class com.xilinx.rapidwright.router.SATRouter
 
eval(int) - Method in class com.xilinx.rapidwright.design.tools.LUTEquationEvaluator
 
everExpanded() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Checks if a RouteNode instance has ever been expanded, as determined by whether its children member is null.
ExampleNetlistCreation - Class in com.xilinx.rapidwright.examples
A simple netlist creation example (no placement and routing information included).
ExampleNetlistCreation() - Constructor for class com.xilinx.rapidwright.examples.ExampleNetlistCreation
 
execCommandGetOutput(boolean, String...) - Static method in class com.xilinx.rapidwright.util.FileTools
Runs the provided command (arguments must be separate) and gathers the standard output followed by the standard error.
ExhaustiveOverlapCache<PlacementT,ModuleInstT extends AbstractModuleInst<?,PlacementT,? super ModuleInstT>> - Class in com.xilinx.rapidwright.placer.blockplacer
Naive implementation of overlap checking.
ExhaustiveOverlapCache(Collection<ModuleInstT>) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.ExhaustiveOverlapCache
 
ExhaustiveValidPlacementCache<PlacementT> - Class in com.xilinx.rapidwright.placer.blockplacer
Naive implementation of placement collection
ExhaustiveValidPlacementCache(List<PlacementT>, BlockPlacer2<?, ?, PlacementT, ?>) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.ExhaustiveValidPlacementCache
 
expandMacroUnisims(Series) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Expands macro primitives into a native-compatible implementation.
explorePerformance() - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
EXPORT_CONST_ARRAY_BEGIN - Static variable in class com.xilinx.rapidwright.edif.EDIFPort
 
EXPORT_CONST_CELL_BEGIN - Static variable in class com.xilinx.rapidwright.edif.EDIFCell
 
EXPORT_CONST_CELL_END - Static variable in class com.xilinx.rapidwright.edif.EDIFCell
 
EXPORT_CONST_CELLREF - Static variable in class com.xilinx.rapidwright.edif.EDIFCellInst
 
EXPORT_CONST_CELLREF - Static variable in class com.xilinx.rapidwright.edif.EDIFNetlist
 
EXPORT_CONST_CELLTYPE - Static variable in class com.xilinx.rapidwright.edif.EDIFCell
 
EXPORT_CONST_CHILD_INDENT - Static variable in class com.xilinx.rapidwright.edif.EDIFPort
 
EXPORT_CONST_CLOSE - Static variable in class com.xilinx.rapidwright.edif.EDIFCellInst
 
EXPORT_CONST_CLOSE_DESIGN - Static variable in class com.xilinx.rapidwright.edif.EDIFNetlist
 
EXPORT_CONST_CLOSE_EDIF - Static variable in class com.xilinx.rapidwright.edif.EDIFNetlist
 
EXPORT_CONST_CLOSE_NL - Static variable in class com.xilinx.rapidwright.edif.EDIFNetlist
 
EXPORT_CONST_CLOSE_NO_PROPS - Static variable in class com.xilinx.rapidwright.edif.EDIFCellInst
 
EXPORT_CONST_CLOSE_PORT_INST - Static variable in class com.xilinx.rapidwright.edif.EDIFPortInst
 
EXPORT_CONST_CLOSE_REF - Static variable in class com.xilinx.rapidwright.edif.EDIFNetlist
 
EXPORT_CONST_CLOSE_WITH_PROPS - Static variable in class com.xilinx.rapidwright.edif.EDIFCellInst
 
EXPORT_CONST_COMMENT - Static variable in class com.xilinx.rapidwright.edif.EDIFNetlist
 
EXPORT_CONST_CONTENTS - Static variable in class com.xilinx.rapidwright.edif.EDIFCell
 
EXPORT_CONST_CONTENTS_END - Static variable in class com.xilinx.rapidwright.edif.EDIFCell
 
EXPORT_CONST_DESIGN_START - Static variable in class com.xilinx.rapidwright.edif.EDIFNetlist
 
EXPORT_CONST_DIRECTION_START - Static variable in class com.xilinx.rapidwright.edif.EDIFPort
 
EXPORT_CONST_DOUBLE_CLOSE - Static variable in class com.xilinx.rapidwright.edif.EDIFNetlist
 
EXPORT_CONST_EDIF_HEAD - Static variable in class com.xilinx.rapidwright.edif.EDIFNetlist
 
EXPORT_CONST_EDIF_VERSION - Static variable in class com.xilinx.rapidwright.edif.EDIFNetlist
 
EXPORT_CONST_INDENT - Static variable in class com.xilinx.rapidwright.edif.EDIFPort
 
EXPORT_CONST_INSTANCE_BEGIN - Static variable in class com.xilinx.rapidwright.edif.EDIFCellInst
 
EXPORT_CONST_INSTANCEREF - Static variable in class com.xilinx.rapidwright.edif.EDIFPortInst
 
EXPORT_CONST_INTERFACE_END - Static variable in class com.xilinx.rapidwright.edif.EDIFCell
 
EXPORT_CONST_JOINED - Static variable in class com.xilinx.rapidwright.edif.EDIFNet
 
EXPORT_CONST_JOINED_END - Static variable in class com.xilinx.rapidwright.edif.EDIFNet
 
EXPORT_CONST_LIBRARYREF - Static variable in class com.xilinx.rapidwright.edif.EDIFCellInst
 
EXPORT_CONST_LIBRARYREF - Static variable in class com.xilinx.rapidwright.edif.EDIFNetlist
 
EXPORT_CONST_MEMBER - Static variable in class com.xilinx.rapidwright.edif.EDIFPortInst
 
EXPORT_CONST_META_X - Static variable in class com.xilinx.rapidwright.edif.EDIFNetlist
 
EXPORT_CONST_NET_END - Static variable in class com.xilinx.rapidwright.edif.EDIFNet
 
EXPORT_CONST_NET_START - Static variable in class com.xilinx.rapidwright.edif.EDIFNet
 
EXPORT_CONST_OWNER_END - Static variable in class com.xilinx.rapidwright.edif.EDIFPropertyObject
 
EXPORT_CONST_OWNER_START - Static variable in class com.xilinx.rapidwright.edif.EDIFPropertyObject
 
EXPORT_CONST_PORT_BEGIN - Static variable in class com.xilinx.rapidwright.edif.EDIFPort
 
EXPORT_CONST_PORT_INDENT - Static variable in class com.xilinx.rapidwright.edif.EDIFNet
 
EXPORT_CONST_PORTREF - Static variable in class com.xilinx.rapidwright.edif.EDIFPortInst
 
EXPORT_CONST_PROGRAM - Static variable in class com.xilinx.rapidwright.edif.EDIFNetlist
 
EXPORT_CONST_PROP_END - Static variable in class com.xilinx.rapidwright.edif.EDIFPropertyObject
 
EXPORT_CONST_PROP_INDENT - Static variable in class com.xilinx.rapidwright.edif.EDIFCell
 
EXPORT_CONST_PROP_INDENT - Static variable in class com.xilinx.rapidwright.edif.EDIFCellInst
 
EXPORT_CONST_PROP_INDENT - Static variable in class com.xilinx.rapidwright.edif.EDIFNet
 
EXPORT_CONST_PROP_INDENT - Static variable in class com.xilinx.rapidwright.edif.EDIFNetlist
 
EXPORT_CONST_PROP_START - Static variable in class com.xilinx.rapidwright.edif.EDIFPropertyObject
 
EXPORT_CONST_QUOTE_CLOSE_NL - Static variable in class com.xilinx.rapidwright.edif.EDIFNetlist
 
EXPORT_CONST_RENAME_START - Static variable in class com.xilinx.rapidwright.edif.EDIFName
 
EXPORT_CONST_VIEW_END - Static variable in class com.xilinx.rapidwright.edif.EDIFCell
 
EXPORT_CONST_VIEWREF - Static variable in class com.xilinx.rapidwright.edif.EDIFCellInst
 
EXPORT_CONST_VIEWTYPE - Static variable in class com.xilinx.rapidwright.edif.EDIFCell
 
exportEDIF(OutputStream, EDIFWriteLegalNameCache<?>, boolean) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
exportEDIF(OutputStream, EDIFWriteLegalNameCache<?>) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
exportEDIF(OutputStream, EDIFWriteLegalNameCache<?>, boolean) - Method in class com.xilinx.rapidwright.edif.EDIFCellInst
 
exportEDIF(OutputStream, EDIFWriteLegalNameCache<?>, boolean) - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
 
exportEDIF(OutputStream, EDIFWriteLegalNameCache<?>) - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
 
exportEDIF(EDIFWriteLegalNameCache<?>) - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
 
exportEDIF(OutputStream, EDIFWriteLegalNameCache<?>, boolean) - Method in class com.xilinx.rapidwright.edif.EDIFNet
 
exportEDIF(OutputStream, boolean) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
exportEDIF(OutputStream) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
exportEDIF(Path, boolean) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
exportEDIF(Path) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
exportEDIF(String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
exportEDIF(OutputStream, EDIFWriteLegalNameCache<?>, boolean) - Method in class com.xilinx.rapidwright.edif.EDIFPort
 
exportEDIFBusName(OutputStream, EDIFWriteLegalNameCache<?>) - Method in class com.xilinx.rapidwright.edif.EDIFPort
Writes out valid EDIF syntax the name and/or rename of this port to the provided output writer.
exportEDIFName(OutputStream, EDIFWriteLegalNameCache<?>) - Method in class com.xilinx.rapidwright.edif.EDIFName
Writes out valid EDIF syntax the name and/or rename of this object to the provided output writer.
exportEDIFProperties(OutputStream, byte[], EDIFWriteLegalNameCache<?>, boolean) - Method in class com.xilinx.rapidwright.edif.EDIFPropertyObject
 
exportSomeEDIFName(OutputStream, String, byte[]) - Static method in class com.xilinx.rapidwright.edif.EDIFName
 
extendFilterToConnected(BiPredicate<InstanceT, DesignT>) - Method in class com.xilinx.rapidwright.debug.DotGraphDumper
Extend an existing filter to also output instances that connect to the ones that are shown
extendFilterToConnected(Predicate<InstanceT>) - Method in class com.xilinx.rapidwright.debug.DotGraphDumper
Extend an existing filter to also output instances that connect to the ones that are shown
extendTo(Tile) - Method in class com.xilinx.rapidwright.design.RelocatableTileRectangle
Extend the Rectangle so that the specified Tile is inside
extendTo(RelocatableTileRectangle) - Method in class com.xilinx.rapidwright.design.RelocatableTileRectangle
Extend the Rectangle so that the specified Rectangle is inside
extendTo(Tile) - Method in class com.xilinx.rapidwright.design.SimpleTileRectangle
Extend the Rectangle so that the specified Tile is inside
extendTo(RelocatableTileRectangle) - Method in class com.xilinx.rapidwright.design.SimpleTileRectangle
Extend the Rectangle so that the specified Rectangle is inside
extendTo(TileRectangle) - Method in class com.xilinx.rapidwright.design.SimpleTileRectangle
Extend the Rectangle so that the specified Rectangle is inside
extendTo(Tile) - Method in class com.xilinx.rapidwright.design.TileRectangle
 
extendTo(RelocatableTileRectangle) - Method in class com.xilinx.rapidwright.design.TileRectangle
Extend the Rectangle so that the specified Rectangle is inside
extendToCorresponding(Tile, Site, SiteInst) - Method in class com.xilinx.rapidwright.design.RelocatableTileRectangle
Extend the Rectangle so that a shifted tile is inside.
extendToCorresponding(RelocatableTileRectangle, Site, SiteInst) - Method in class com.xilinx.rapidwright.design.RelocatableTileRectangle
Extend the Rectangle so that a shifted rectangle is inside.
extendToCorresponding(RelocatableTileRectangle, Site, SiteInst) - Method in class com.xilinx.rapidwright.design.SimpleTileRectangle
Extend the Rectangle so that a shifted rectangle is inside.
extendToCorresponding(RelocatableTileRectangle, Site, SiteInst) - Method in class com.xilinx.rapidwright.design.TileRectangle
Extend the Rectangle so that a shifted rectangle is inside.
extendToCorresponding(Tile, Site, SiteInst) - Method in class com.xilinx.rapidwright.design.TileRectangle
Extend the Rectangle so that a shifted tile is inside.
EXTERNAL - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
extract(Bitstream, List<Integer>, List<Integer>) - Method in class com.xilinx.rapidwright.util.ReplaceFrameData
Extract "NO OP" bits from the given config rows and columns of the given bitstream.
extractForExamplePlatform(Bitstream) - Method in class com.xilinx.rapidwright.util.ReplaceFrameData
Extract "NO OP" bits from the given bitstream according to the example platform.
extractIntraSiteRouting(Net, List<RouteBranchNode>, SiteInst) - Static method in class com.xilinx.rapidwright.interchange.PhysNetlistWriter
 

F

factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELInverter
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELInverter.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELPin
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELPin.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelPinEntry
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelPinEntry.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellInversion
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellInversion.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellParameterDefinition
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellParameterDefinition.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnection
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnection.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnections
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnections.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.NodeConstantSource
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.NodeConstantSource.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SitePinConstantExceptions
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SitePinConstantExceptions.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElement
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElement.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Node
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Node.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Grade
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Grade.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinitions
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinitions.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapEntry
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapEntry.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParentPins
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParentPins.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PseudoCell
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.PseudoCell.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Site
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Site.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeBelEntry
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeBelEntry.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteWire
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteWire.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Wire
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Wire.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireConstantSources
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireConstantSources.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireType
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireType.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.HashSet
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.HashSet.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.StringRef
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.StringRef.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.WireRef.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.HashSet
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.HashSet.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bitstring
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bitstring.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bus
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bus.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.HashSet
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.HashSet.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.MultiCellPinMapping
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.MultiCellPinMapping.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBel
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBel.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBelPin
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBelPin.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysCell
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysCell.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNode
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNode.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePin
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePin.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Property
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Property.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.SiteInstance
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.SiteInstance.Factory
 
factory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef
 
Factory() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef.Factory
 
FamilyType - Enum in com.xilinx.rapidwright.device
Generated on: Fri Oct 20 21:29:57 2023 by: com.xilinx.rapidwright.release.PartNamePopulator Set of all Supported Xilinx families in RapidWright
FAR - Class in com.xilinx.rapidwright.bitstream
Representation for the frame address register functionality.
FAR(ConfigArray) - Constructor for class com.xilinx.rapidwright.bitstream.FAR
Creates a new frame address register object
FAR_MAX - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
FAR_MIN - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
Fast() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast
 
FF_PER_CLE - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
FF_ROUTETHRU_TYPE - Static variable in class com.xilinx.rapidwright.design.Cell
Sentinel type for library field "type" to identify FF routethrus
FileFilters - Class in com.xilinx.rapidwright.gui
 
FileFilters() - Constructor for class com.xilinx.rapidwright.gui.FileFilters
 
FileTools - Class in com.xilinx.rapidwright.util
This class is specifically written to allow for efficient file import/export of different semi-primitive data types and structures.
FileTools() - Constructor for class com.xilinx.rapidwright.util.FileTools
 
filter(TimingDirection, TimingGroup[]) - Method in class com.xilinx.rapidwright.timing.TimingModel
Used for the router example to filter the unfiltered list based on a given direction.
filter(int, TimingDirection, TimingGroup[]) - Method in class com.xilinx.rapidwright.timing.TimingModel
Used for the router example to filter the unfiltered list based on a given direction and given distance.
filter(GroupDistance, TimingDirection, TimingGroup[]) - Method in class com.xilinx.rapidwright.timing.TimingModel
Used for the router example to filter the unfiltered list based on a given group direction and given distance.
filterVivadoChanges - Variable in class com.xilinx.rapidwright.edif.compare.EDIFNetlistComparator
A netlist will undergo several changes when loaded via DCP vs.
finalBestCost - Variable in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer
 
finalBestCost - Variable in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
 
finalizeRoutesOfConnections() - Method in class com.xilinx.rapidwright.rwroute.RouteFixer
Finalizes the route of each connection based on the delay-aware path merging.
finalSystemCost - Variable in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer
 
finalSystemCost - Variable in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
 
find(E) - Method in class com.xilinx.rapidwright.device.helper.HashPool
Gets the identical object in the HashPool that is equal by definition of the equals() method.
FindBlackBoxes - Class in com.xilinx.rapidwright.examples
 
FindBlackBoxes() - Constructor for class com.xilinx.rapidwright.examples.FindBlackBoxes
 
findCellInsts(String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Searches all EDIFCellInst objects to find those with matching names against the wildcard pattern.
findCentroid(String[], Device) - Static method in class com.xilinx.rapidwright.examples.SLRCrosserGenerator
 
findILAs(Design) - Static method in class com.xilinx.rapidwright.debug.ProbeRouter
 
findInputPinFeed(SitePinInst) - Static method in class com.xilinx.rapidwright.router.Router
Attempts to find a switch box wire that will drive the site pin provided.
findPathBetweenNodes(Node, Node) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Find a path from a source node to a sink node.
findPIPbetweenNodes(Node, Node) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Finds the PIP instance that connects two Node instances.
findRoute(SitePinInst, SitePinInst, TimingModel) - Static method in class com.xilinx.rapidwright.examples.PipelineGeneratorWithRouting
 
findRouteCostFunction(int, int, TimingModel, SitePinInst) - Static method in class com.xilinx.rapidwright.examples.PipelineGeneratorWithRouting
Router cost function method.
findRoutingPath(Node, Node) - Static method in class com.xilinx.rapidwright.design.DesignTools
Demonstrates a rudimentary path expansion for finding a routing path in the interconnect.
findRoutingPath(RouteNode, RouteNode) - Static method in class com.xilinx.rapidwright.design.DesignTools
Demonstrates a rudimentary path expansion for finding a routing path in the interconnect.
findSinks(EDIFHierPortInst) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Traverse all connected EDIFNets to find all leaf sink portrefs as part of the physical net.
findSource() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsPath
 
findSwitchBoxInput(RouteNode) - Method in class com.xilinx.rapidwright.router.Router
 
finish() - Method in class com.xilinx.rapidwright.edif.ParallelEDIFParserWorker
 
fixPin(String) - Method in class com.xilinx.rapidwright.design.Cell
Marks a pin on the cell as fixed by its physical name.
flattenDesign() - Method in class com.xilinx.rapidwright.design.Design
If there are any module instances in the design, this flattens them so there are no references to modules or module instances.
FloorPlanScene - Class in com.xilinx.rapidwright.placer.handplacer
 
FloorPlanScene() - Constructor for class com.xilinx.rapidwright.placer.handplacer.FloorPlanScene
 
FloorPlanScene(Design, boolean) - Constructor for class com.xilinx.rapidwright.placer.handplacer.FloorPlanScene
 
flush() - Method in class com.xilinx.rapidwright.util.NoCloseOutputStream
 
forceUpdateAllDataFiles() - Static method in class com.xilinx.rapidwright.util.FileTools
RapidWright downloads data files on demand to avoid large downloads.
forDebugTimingGroupByPorts - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
formatString(String) - Static method in class com.xilinx.rapidwright.util.MessageGenerator
 
formatString(String, float) - Static method in class com.xilinx.rapidwright.util.MessageGenerator
 
formatString(String, short) - Static method in class com.xilinx.rapidwright.util.MessageGenerator
 
formatString(String, boolean) - Static method in class com.xilinx.rapidwright.util.MessageGenerator
 
formatString(String, int) - Static method in class com.xilinx.rapidwright.util.MessageGenerator
 
formatString(String, long) - Static method in class com.xilinx.rapidwright.util.MessageGenerator
 
Frame - Class in com.xilinx.rapidwright.bitstream
Represents a configuration frame (part of a configuration block)
Frame(Series, int) - Constructor for class com.xilinx.rapidwright.bitstream.Frame
Creates a new configuration frame based on the provides series and address
FRAME_OVERHEAD_COUNT_PER_ROW - Static variable in class com.xilinx.rapidwright.bitstream.ConfigArray
The number of extra frames at the end of a configuration row
FRAMEWORK_NAME - Static variable in class com.xilinx.rapidwright.device.Device
Formal name of this framework
FRAMEWORK_NAME_AND_VERSION - Static variable in class com.xilinx.rapidwright.device.Device
Full formal name to identify this version of RapidWright
from - Variable in class com.xilinx.rapidwright.interchange.MacroParamTableEntry
 
fromList(List<PlacementT>, BlockPlacer2<?, ?, PlacementT, ?>, boolean) - Static method in class com.xilinx.rapidwright.placer.blockplacer.SortedValidPlacementCache
 
fromPath(Path, boolean) - Static method in interface com.xilinx.rapidwright.util.function.InputStreamSupplier
 
fullyRemoveCell(Design, Cell, Map<Net, Set<SitePinInst>>) - Static method in class com.xilinx.rapidwright.design.DesignTools
This method will completely remove a placed cell (both logical and physical) from a design.
fullyRoutedNets - Variable in class com.xilinx.rapidwright.util.ReportRouteStatusResult
 
fullyUnplaceCell(Cell, Map<Net, Set<SitePinInst>>) - Static method in class com.xilinx.rapidwright.design.DesignTools
This method will fully unplace (but not remove) a physical cell from a design.

G

genColumnPatternMap(Device, boolean) - Static method in class com.xilinx.rapidwright.device.helper.TileColumnPattern
Creates a map where the keys are all tile column patterns for the given device and the values are sets of occurrences/instances of those tile column patterns (represented by the the column index of the start of the pattern).
genColumnPatternMap(Device) - Static method in class com.xilinx.rapidwright.device.helper.TileColumnPattern
Creates a map where the keys are all tile column patterns for the given device and the values are sets of occurrences/instances of those tile column patterns (represented by the the column index of the start of the pattern).
generalError(String) - Static method in class com.xilinx.rapidwright.util.MessageGenerator
Used as a general way to create an error message and send it to std.err.
generalErrorAndExit(String) - Static method in class com.xilinx.rapidwright.util.MessageGenerator
Used as a general way to create an error message and send it to std.err.
generateBuildComments() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Adds date and username build comments such as: (comment "Built on 'Mon May 1 15:17:36 PDT 2017'") (comment "Built by 'clavin'")
generateCellInstMap() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Identify primitive cell instances in EDIF netlist
generateCellInstMap(EDIFCellInst) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Creates a map for each EDIF cell type to all its instances, starting with the top cell instance.
generateEDIFNetMap(HashMap<String, EDIFCellInst>) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
generateGraphvizDotVisualization(String) - Method in class com.xilinx.rapidwright.timing.TimingGraph
This creates a GraphViz library dot file representation of the TimingGraph.
GenerateInterchangeDevices - Class in com.xilinx.rapidwright.interchange
Small application to attempt to generate all Xilinx devices in the Interchange format.
GenerateInterchangeDevices() - Constructor for class com.xilinx.rapidwright.interchange.GenerateInterchangeDevices
 
generatePBlockFromReport(String, String) - Method in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
generatePBlockFromReport2(String, String) - Method in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
generatePolynomial(String, int, boolean) - Static method in class com.xilinx.rapidwright.examples.PolynomialGenerator
 
generatePolynomial(String, String, int, boolean, String, PBlock, boolean) - Static method in class com.xilinx.rapidwright.examples.PolynomialGenerator
 
generateReadableEDIF(Path, Path) - Static method in class com.xilinx.rapidwright.design.DesignTools
Use Vivado to create a readable version of the EDIF file inside a design checkpoint.
generateReadableEDIFJob(Path, Path) - Static method in class com.xilinx.rapidwright.design.DesignTools
Create a Job running Vivado to create a readable version of the EDIF inside the checkpoint to a separate file.
generateWarningInfo() - Static method in class com.xilinx.rapidwright.timing.DSPTimingData
 
genStaticCode(Map<Series, Map<String, List<MacroParamRule>>>) - Static method in class com.xilinx.rapidwright.interchange.PopulateMacroParamRules
 
get(EDIFCellInst, String) - Method in class com.xilinx.rapidwright.edif.EDIFPortInstList
 
get(int) - Method in class com.xilinx.rapidwright.interchange.IdentityEnumerator
 
get(int) - Method in class com.xilinx.rapidwright.interchange.LongEnumerator
 
get(int) - Method in class com.xilinx.rapidwright.interchange.StringEnumerator
 
get(int) - Method in class com.xilinx.rapidwright.placer.blockplacer.Path
 
get(Node, Map<Tile, BitSet>) - Static method in class com.xilinx.rapidwright.rwroute.RouteNodeInfo
 
get(int) - Method in interface com.xilinx.rapidwright.timing.TimingModel.GetTileAt
 
get(Future<T>) - Static method in class com.xilinx.rapidwright.util.ParallelismTools
Block until the task behind the given Future is complete.
getActivePackage() - Method in class com.xilinx.rapidwright.device.Device
Gets the current active package
getAddress() - Method in class com.xilinx.rapidwright.bitstream.Block
Gets the configuration block address as used in the Frame Address Register (FAR).
getAddress() - Method in class com.xilinx.rapidwright.bitstream.Frame
Gets the frame address within the configuration.
getAddress() - Method in enum com.xilinx.rapidwright.bitstream.RegisterType
Gets the configuration address (see register address field in packet header).
getAll() - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractValidPlacementCache
 
getAll() - Method in class com.xilinx.rapidwright.placer.blockplacer.ExhaustiveValidPlacementCache
 
getAll() - Method in class com.xilinx.rapidwright.placer.blockplacer.SortedValidPlacementCache
 
getAllCompatibleSites(SiteTypeEnum) - Method in class com.xilinx.rapidwright.device.Device
This method will get all compatible sites for a particular site type in this device.
getAllConnections() - Method in class com.xilinx.rapidwright.design.noc.NOCDesign
Gets all the connections that are part of this NOC design.
getAllCorrespondingSitePinNames(String, List<String>, boolean) - Method in class com.xilinx.rapidwright.design.Cell
Finds all the corresponding site pin names of the site to be used to drive/source from the logical pin name provided on this cell.
getAllCorrespondingSitePinNames(String) - Method in class com.xilinx.rapidwright.design.Cell
Finds all the corresponding site pin names of the site to be used to drive/source from the logical pin name provided on this cell.
getAllCorrespondingSitePinNames(String, boolean) - Method in class com.xilinx.rapidwright.design.Cell
Finds all the corresponding site pin names of the site to be used to drive/source from the logical pin name provided on this cell.
getAllDependentDataFiles(String...) - Static method in class com.xilinx.rapidwright.util.FileTools
Gets the list of all relative dependent data files given the set of devices provided.
getAllDescendants(String, String, boolean) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Searches all lower levels of hierarchy to find descendants.
getAllDownhillNodes() - Method in class com.xilinx.rapidwright.device.Node
Creates a list of all downhill (can be driven by this node) nodes.
getAllDownhillNodes(Collection<Node>) - Method in class com.xilinx.rapidwright.device.Node
Creates a list of all downhill (can be driven by this node) nodes.
getAllDownhillPIPs() - Method in class com.xilinx.rapidwright.device.Node
Creates a list of all downhill PIPs (PIPs that are driven by this node) from this node.
getAllFilesWithSuffix(Path, String) - Static method in class com.xilinx.rapidwright.util.FileTools
Gets all files (Path objects) recursively (including all sub-directories) starting at a root directory of a particular extension (or file name suffix).
getAllJavaSources(String) - Static method in class com.xilinx.rapidwright.util.Installer
Gets all Java (.java) source files recursively under the provided directory
getAllLeafCellInstances() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Traverses the netlist and produces a list of all primitive leaf cell instances.
getAllLeafDescendants() - Method in class com.xilinx.rapidwright.edif.EDIFCell
Recursively finds all leaf cell descendants of this cell The returned EDIFHierCellInsts are relative to this cell.
getAllLeafDescendants(EDIFHierCellInst) - Method in class com.xilinx.rapidwright.edif.EDIFCell
Recursively finds all leaf cell descendants of this cell
getAllLeafDescendants(String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Searches all lower levels of hierarchy to find all leaf descendants.
getAllLeafDescendants(EDIFHierCellInst) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Searches all lower levels of hierarchy to find all leaf descendants.
getAllLeafDescendants(String, String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
getAllOverlaps(ModuleInstT) - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractOverlapCache
 
getAllOverlaps(ModuleInstT) - Method in class com.xilinx.rapidwright.placer.blockplacer.ExhaustiveOverlapCache
 
getAllOverlaps(ModuleInstT) - Method in class com.xilinx.rapidwright.placer.blockplacer.RegionBasedOverlapCache
 
getAllParts(Series) - Static method in class com.xilinx.rapidwright.device.PartNameTools
Gets all parts that are available for the provided series.
getAllPhysicalPinMappings(String) - Method in class com.xilinx.rapidwright.design.Cell
Gets all corresponding physical pins that are mapped to by the given logical pin.
getAllPlacements() - Method in class com.xilinx.rapidwright.design.ModuleImpls
 
getAllPossibleEndWires() - Method in class com.xilinx.rapidwright.device.PIP
This method will return an array of all possible wire connections that can be made from the start wire of this PIP.
getAllRoutedSitePinInsts(Design) - Method in class com.xilinx.rapidwright.edif.EDIFHierPortInst
Gets the list of site pins if this port is on a placed leaf cell and its' site is routed
getAllRoutedSitePinsFromPhysicalPin(Cell, Net, String) - Static method in class com.xilinx.rapidwright.design.DesignTools
Gets all site pins that are currently routed to the specified cell pin.
getAllSitePinsFromLogicalPin(String, List<String>) - Method in class com.xilinx.rapidwright.design.Cell
Attempts to get all the physical net pin from this cell's logical pin name.
getAllSitePinsFromPortInst(EDIFPortInst, List<String>) - Method in class com.xilinx.rapidwright.design.Cell
Attempts to get the list of physical net pins from this cell's port ref.
getAllSites(String) - Method in class com.xilinx.rapidwright.design.blocks.PBlock
Gets all sites inside the pblock
getAllSites() - Method in class com.xilinx.rapidwright.device.Device
Gets all the sites in the device in an array ordered by index.
getAllSitesOfType(SiteTypeEnum) - Method in class com.xilinx.rapidwright.device.Device
Gets and returns an array of all sites of the given site type.
getAllTiles() - Method in class com.xilinx.rapidwright.design.blocks.PBlock
Iterates over all Pblock ranges and returns the set of all tiles covered by the pblock.
getAllTiles() - Method in class com.xilinx.rapidwright.design.blocks.PBlockRange
Iterates over rectangular region imposed by pblock and returns the set of all tiles inclusive of those sites.
getAllTiles() - Method in class com.xilinx.rapidwright.device.Device
Gets all the tiles in the device
getAllTiles() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort
 
getAllTiles() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort.InstPort
 
getAllTiles() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort.SitePinInstPort
 
getAllTilesInRectangle(Tile, Tile) - Static method in class com.xilinx.rapidwright.util.DeviceTools
Creates a list of tiles within the rectangle created by the two provided tiles (inclusive).
getAllTopLevelPortInsts() - Method in class com.xilinx.rapidwright.edif.EDIFNet
Gets all top level port instances connected to this net.
getAllUphillNodes() - Method in class com.xilinx.rapidwright.device.Node
Creates a list of all uphill (can drive this node) nodes.
getAllUphillNodes(Collection<Node>) - Method in class com.xilinx.rapidwright.device.Node
Creates a list of all uphill (can drive this node) nodes.
getAllUphillPIPs() - Method in class com.xilinx.rapidwright.device.Node
Creates a list of all uphill PIPs (PIPs that drive this node) from this node.
getAllValidPlacements() - Method in class com.xilinx.rapidwright.design.Module
Gets the previously calculated valid placement locations for this particular module.
getAllValidPlacements() - Method in class com.xilinx.rapidwright.design.ModuleInst
Does a brute force search to find all valid locations of where this module instance can be placed.
getAllWiresInNode() - Method in class com.xilinx.rapidwright.device.Node
Creates and array of wire objects that make up this node.
getAlreadyGenPBlocks(HashMap<Integer, Integer>, HashMap<Integer, Integer>, HashMap<Integer, Integer>, HashMap<Integer, Integer>, HashMap<Integer, Integer>) - Method in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
Read PBlock File for obtaining already generated pblocks
getAltBlockedSiteType() - Method in class com.xilinx.rapidwright.design.Cell
Gets (if any) alternative site type if this cell's current site is blocked.
getAltCellName() - Method in class com.xilinx.rapidwright.design.AltPinMapping
 
getAltCellType() - Method in class com.xilinx.rapidwright.design.AltPinMapping
 
getAlternateSitePinName(String) - Method in class com.xilinx.rapidwright.design.SiteInst
If this site instance is set to an alternate site type, this method will get the corresponding alternate site pin name from the primary site pin name.
getAlternateSiteTypeEnums() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets alternate site types (if any) for the current site of this site instance.
getAlternateSiteTypeEnums() - Method in class com.xilinx.rapidwright.device.Site
Gets the alternate site types compatible with this site.
getAlternateSource() - Method in class com.xilinx.rapidwright.design.Net
Gets the alternate site pin (if set) on this net.
getAlternativeLUTInputs(SitePinInst) - Static method in class com.xilinx.rapidwright.router.Router
Checks the current sink LUT to see if there are any alternative LUT input pins that could be used instead
getAltPinMapping(String) - Method in class com.xilinx.rapidwright.design.Cell
Gets (if exists) an alternative pin mapping for the provided physical pin name.
getAltPinMappings() - Method in class com.xilinx.rapidwright.design.Cell
Gets a map containing all alternate pin mappings for the cell.
getAltPinsToPrimaryPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Builder
 
getAltPinsToPrimaryPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Reader
 
getAltSinkRnodes() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
getAltSiteType() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
getAltSiteType() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Reader
 
getAltSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
getAltSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Reader
 
getAltSourceRnode() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
getAlways() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Builder
 
getAlways() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Reader
 
getAnchor() - Method in class com.xilinx.rapidwright.design.Module
This gets and returns the instance anchor of the module.
getAnchor() - Method in class com.xilinx.rapidwright.design.ModuleInst
Gets and returns the anchor instance for this module instance.
getAnchorOffset() - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
 
getAnyBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Builder
 
getAnyBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Reader
 
getAnyName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Builder
 
getAnyName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Reader
 
getAnyName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Builder
 
getAnyName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Reader
 
getApproximateCenter() - Method in class com.xilinx.rapidwright.device.ClockRegion
Calculates the approximate tile in the center of the clock region.
getArbitraryPIP(Node, Node) - Static method in class com.xilinx.rapidwright.device.PIP
Gets an arbitrary PIP between two nodes, if at least one exists.
getArbitraryTileOfType(TileTypeEnum) - Method in class com.xilinx.rapidwright.device.Device
Gets a tile of a particular type (TileTypeEnum)
getArchitecture() - Method in class com.xilinx.rapidwright.device.Device
Gets and returns the base architecture for this device.
getArchitecture() - Method in class com.xilinx.rapidwright.device.Part
 
getArchitectureFromFamilyType(FamilyType) - Static method in class com.xilinx.rapidwright.device.PartNameTools
This function returns the architecture (as a family type)
getArchitectureFullName() - Method in class com.xilinx.rapidwright.device.Part
 
getArchRegex() - Method in enum com.xilinx.rapidwright.device.Series
 
getArrivalTime() - Method in class com.xilinx.rapidwright.timing.TimingVertex
Gets the arrival time stored at this vertex.
getArrow(PIPType) - Static method in enum com.xilinx.rapidwright.device.PIPType
Gets the arrow string representation from the PIP type.
getAvailableDevices() - Static method in class com.xilinx.rapidwright.device.Device
Checks for all device files present in the current RapidWright path and returns a list of strings of those part names available to be used by the tool.
getAvailableFamilies() - Static method in class com.xilinx.rapidwright.util.FileTools
This method returns an ArrayList of family types currently supported
getAvailableIobs() - Method in class com.xilinx.rapidwright.device.Part
 
getAvailableParts(FamilyType) - Static method in class com.xilinx.rapidwright.util.FileTools
Checks for all device files present in the current RapidWright family path and returns a list of strings of those part names available to be used by the tool within the specified family.
getBackwardConnections(int) - Method in class com.xilinx.rapidwright.device.Tile
Gets the list of wires driving the wire in this tile.
getBackwardConnections() - Method in class com.xilinx.rapidwright.router.RouteNode
 
getBackwardPIPs(String) - Method in class com.xilinx.rapidwright.device.Tile
Creates a new list of PIPs that connect uphill to the provided wire.
getBackwardPIPs(int) - Method in class com.xilinx.rapidwright.device.Tile
Creates a new list of PIPs that connect uphill to the provided wire.
getBackwardPIPs() - Method in class com.xilinx.rapidwright.device.Wire
Helper method to get corresponding PIPs that drive this wire.
getBackwardPIPs() - Method in class com.xilinx.rapidwright.router.RouteNode
 
getBankType() - Method in class com.xilinx.rapidwright.device.IOBank
Gets the IOBank's type
getBaseCost() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Gets the base cost of a RouteNode Object.
getBaseWire() - Method in class com.xilinx.rapidwright.router.RouteNode
 
getBeginTileXCoordinate() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
 
getBeginTileYCoordinate() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
 
getBEL() - Method in class com.xilinx.rapidwright.design.Cell
Gets the element type object onto which this cell is placed.
getBEL(String) - Method in class com.xilinx.rapidwright.design.SiteInst
Gets and returns the named BEL from the site instance.
getBEL() - Method in class com.xilinx.rapidwright.device.BELPin
Gets the parent BEL of this pin
getBEL(SiteTypeEnum, String) - Method in class com.xilinx.rapidwright.device.Device
Gets the named BEL on the associated site type
getBEL() - Method in class com.xilinx.rapidwright.device.PackagePin
Gets the BEL associated with this package pin.
getBEL(String) - Method in class com.xilinx.rapidwright.device.Site
Gets and returns the named element type from the site.
getBEL() - Method in class com.xilinx.rapidwright.device.SitePIP
Gets the parent BEL of this SitePIP.
getBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELPin.Builder
 
getBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELPin.Reader
 
getBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource.Builder
 
getBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource.Reader
 
getBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Builder
 
getBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Reader
 
getBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Builder
 
getBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Reader
 
getBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Builder
 
getBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Reader
 
getBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry.Builder
 
getBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry.Reader
 
getBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PseudoCell.Builder
 
getBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PseudoCell.Reader
 
getBel() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
getBel() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Reader
 
getBel() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBel.Builder
 
getBel() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBel.Reader
 
getBel() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBelPin.Builder
 
getBel() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBelPin.Reader
 
getBel() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Builder
 
getBel() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Reader
 
getBel() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Builder
 
getBel() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Reader
 
getBELAttribute(BEL, String) - Method in class com.xilinx.rapidwright.design.SiteConfig
 
getBELAttributes() - Method in class com.xilinx.rapidwright.design.SiteConfig
 
getBELAttrs() - Method in class com.xilinx.rapidwright.design.Design
Get the BEL attribute mappings for this design
getBELClass() - Method in class com.xilinx.rapidwright.device.BEL
Gets the classification of this BEL
getBELIndex(String) - Method in interface com.xilinx.rapidwright.timing.DelayModel
TODO - Revisit this is part of the DelayModel Gets the equivalent timing model index for this BEL
getBELIndex(String) - Method in class com.xilinx.rapidwright.timing.SmallDelayModel
 
getBELName() - Method in class com.xilinx.rapidwright.design.Cell
The name of the BEL onto which this cell is placed.
getBELName() - Method in class com.xilinx.rapidwright.device.BELPin
Gets the name of the parent BEL of this pin
getBELName() - Method in class com.xilinx.rapidwright.device.SitePIP
Gets the name of the BEL
getBELPin(EDIFHierPortInst) - Method in class com.xilinx.rapidwright.design.Cell
Attempts to get the physical BEL pin from the logical EDIFPortInst.
getBELPin(EDIFPortInst) - Method in class com.xilinx.rapidwright.design.Cell
Attempts to get the physical BEL pin from the logical EDIFPortInst.
getBELPin(String, String) - Method in class com.xilinx.rapidwright.design.SiteInst
Gets a bel pin by name
getBELPin() - Method in class com.xilinx.rapidwright.design.SitePinInst
Gets the corresponding BELPin for this site pin.
getBELPin(String) - Method in class com.xilinx.rapidwright.device.Site
Get the BEL pin object from its hierarchical name (i.e.
getBELPin(String, String) - Method in class com.xilinx.rapidwright.device.Site
Get the BEL pin object from its hierarchical name (i.e.
getBELPin() - Method in class com.xilinx.rapidwright.device.SitePin
Gets the corresponding BELPin for this site pin
getBELPin(SiteTypeEnum) - Method in class com.xilinx.rapidwright.device.SitePin
Gets the corresponding BELPin for this site pin using the site type provided.
getBELPin(SiteInst, int, int) - Method in class com.xilinx.rapidwright.interchange.BELPinCache
 
getBelPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelPinEntry.Builder
 
getBelPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelPinEntry.Reader
 
getBelPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource.Builder
 
getBelPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource.Reader
 
getBelpin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Builder
 
getBelpin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Reader
 
getBelPin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Builder
 
getBelPin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Reader
 
getBelPin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder
 
getBelPin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Reader
 
getBELPin() - Method in class com.xilinx.rapidwright.interchange.RouteBranchNode
 
getBELPins(int) - Method in class com.xilinx.rapidwright.device.Site
For a given site wire index (or ID), gets all connected BEL pins of the site wire.
getBELPins(String) - Method in class com.xilinx.rapidwright.device.Site
For a given site wire name, this will return all connected BEL pins of the site wire.
getBelPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Builder
 
getBelPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Reader
 
getBelPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
getBelPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Reader
 
getBELs() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the BELs of this site
getBELs(SiteTypeEnum) - Method in class com.xilinx.rapidwright.device.Device
Gets the array of BELs on the associated site type
getBELs() - Method in class com.xilinx.rapidwright.device.Site
Gets and returns the BELs of this site.
getBels() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Builder
 
getBels() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Reader
 
getBels() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElement.Builder
 
getBels() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElement.Reader
 
getBels() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
getBels() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Reader
 
getBels() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeBelEntry.Builder
 
getBels() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeBelEntry.Reader
 
getBELType() - Method in class com.xilinx.rapidwright.device.BEL
The prototypical name of the element type.
getBestConstant() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SitePinConstantExceptions.Builder
 
getBestConstant() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SitePinConstantExceptions.Reader
 
getBestConstantExceptions() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
getBestConstantExceptions() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Reader
 
getBit(BitLocation, Tile) - Method in class com.xilinx.rapidwright.bitstream.Block
Gets the bit at the specified location for the provided tile
getBit(int) - Method in class com.xilinx.rapidwright.bitstream.Frame
Gets the bit value at the specified index within the frame.
getBit(int, int) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
 
getBit(long, int) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
 
getBit() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Builder
 
getBit() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Reader
 
getBitBlastedIndicies() - Method in class com.xilinx.rapidwright.edif.EDIFPort
 
getBitIdx() - Method in class com.xilinx.rapidwright.bitstream.BitLocation
Gets the bit index (index within the configuration frame)
getBitSlice() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
getBitSlice() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Reader
 
getBitSlice() - Method in class com.xilinx.rapidwright.interchange.MacroParamRule
 
getBitstringValue() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Builder
 
getBitstringValue() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Reader
 
getBlackBoxes(Design) - Static method in class com.xilinx.rapidwright.examples.FindBlackBoxes
 
getBlock(int) - Method in class com.xilinx.rapidwright.bitstream.ConfigRow
Gets the block at the specified index within the row.
getBlock(String) - Method in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
getBlock() - Method in class com.xilinx.rapidwright.placer.blockplacer.PathPort
 
getBlock0() - Method in class com.xilinx.rapidwright.placer.blockplacer.Move
 
getBlock1() - Method in class com.xilinx.rapidwright.placer.blockplacer.Move
 
getBlockAddress() - Method in class com.xilinx.rapidwright.bitstream.FAR
Gets the current FAR address of the current block.
getBlockAddress(int, Series) - Static method in class com.xilinx.rapidwright.bitstream.FAR
Gets the unique block address for the given FAR address.
getBlockFromTileColumn(int) - Method in class com.xilinx.rapidwright.bitstream.ConfigRow
Gets the block in this configuration row based on the the tile column index in the fabric array.
getBlockHeight(Series) - Static method in class com.xilinx.rapidwright.bitstream.Block
Gets a configuration block height in terms of CLEs based on the provided series
getBlockName() - Method in class com.xilinx.rapidwright.timing.DSPTimingData
 
getBlockNames() - Method in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
getBlockRams() - Method in class com.xilinx.rapidwright.device.Part
 
getBlocks() - Method in class com.xilinx.rapidwright.bitstream.ConfigRow
Gets the raw list of blocks in this config row
getBlocks() - Method in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
getBlocks() - Method in class com.xilinx.rapidwright.gui.PBlockScene
 
getBlockSubType(Series, TileTypeEnum) - Static method in class com.xilinx.rapidwright.bitstream.BlockSubType
Gets the block sub type for a particular series and tile type enum
getBlockType(Series) - Method in class com.xilinx.rapidwright.bitstream.Block
Gets the integer value block type of this block, see BlockType
getBlockType() - Method in class com.xilinx.rapidwright.bitstream.FAR
Gets the current integer block type of the current address the FAR is point to
getBlockType(int, Series) - Static method in class com.xilinx.rapidwright.bitstream.FAR
Extracts the block type from a 32-bit FAR address.
getBlockTypeEncoding() - Method in enum com.xilinx.rapidwright.bitstream.BlockType
Gets the integer block type value (as stored in the Frame Address Register) of this block type.
getBlockTypeLSB() - Method in enum com.xilinx.rapidwright.device.Series
 
getBlockTypeMask() - Method in enum com.xilinx.rapidwright.device.Series
 
getBlockTypeWidth() - Method in enum com.xilinx.rapidwright.device.Series
 
getBooleanValue() - Method in class com.xilinx.rapidwright.edif.EDIFPropertyValue
 
getBoolValue() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Builder
 
getBoolValue() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Reader
 
getBottomLeftTile() - Method in class com.xilinx.rapidwright.design.blocks.PBlock
 
getBottomLeftTile() - Method in class com.xilinx.rapidwright.design.blocks.PBlockRange
 
getBottomRightTile() - Method in class com.xilinx.rapidwright.design.blocks.PBlock
 
getBottomRightTile() - Method in class com.xilinx.rapidwright.design.blocks.PBlockRange
 
getBottomTile() - Method in class com.xilinx.rapidwright.bitstream.Block
Gets the bottom tile that is covered by this configuration block
getBoundingBox() - Method in class com.xilinx.rapidwright.design.AbstractModuleInst
 
getBoundingBox() - Method in class com.xilinx.rapidwright.design.Module
Get the Bounding Box of all SiteInsts in this Module The Bounding Box is calculated once and cached for future calls of this method.
getBoundingBox() - Method in class com.xilinx.rapidwright.design.ModuleImplsInst
 
getBoundingBox() - Method in class com.xilinx.rapidwright.design.ModuleInst
 
getBoundingBox() - Method in class com.xilinx.rapidwright.design.Port
 
getBoundingBox() - Method in class com.xilinx.rapidwright.placer.blockplacer.HardMacro
 
getBoundingBoxExtensionX() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the initial bounding box extension range in the horizontal direction.
getBoundingBoxExtensionY() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the initial bounding box extension range in the vertical direction.
getBRAMContentSubType(Series, TileTypeEnum) - Static method in class com.xilinx.rapidwright.bitstream.BlockSubType
Gets the block sub type for the BRAM content block types for the provided series and tile type enum.
getBRAMTileTypes() - Static method in class com.xilinx.rapidwright.util.Utils
 
getBranch(int) - Method in class com.xilinx.rapidwright.interchange.RouteBranchNode
 
getBranches() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.Builder
 
getBranches() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.Reader
 
getBranches() - Method in class com.xilinx.rapidwright.interchange.RouteBranchNode
 
getBufferDelay(Site) - Method in class com.xilinx.rapidwright.design.Net
Gets the specified clock buffer delay.
getBuffered20() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
getBuffered20() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Reader
 
getBuffered21() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
getBuffered21() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Reader
 
getBufgce() - Method in class com.xilinx.rapidwright.timing.ClkRouteTiming
 
getBus() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Builder
 
getBus() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Reader
 
getBusEnd() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bus.Builder
 
getBusEnd() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bus.Reader
 
getBusIdx() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Builder
 
getBusIdx() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Reader
 
getBusName() - Method in class com.xilinx.rapidwright.edif.EDIFPort
 
getBusName(boolean) - Method in class com.xilinx.rapidwright.edif.EDIFPort
 
getBusStart() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bus.Builder
 
getBusStart() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bus.Reader
 
getByRangeAround(int, PlacementT) - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractValidPlacementCache
 
getByRangeAround(int, PlacementT) - Method in class com.xilinx.rapidwright.placer.blockplacer.ExhaustiveValidPlacementCache
 
getByteOffset() - Method in class com.xilinx.rapidwright.edif.EDIFTokenizer
 
getBytesWritten() - Method in class com.xilinx.rapidwright.util.CountingOutputStream
 
getCacheID() - Method in class com.xilinx.rapidwright.design.blocks.BlockGuide
 
getCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming.Builder
 
getCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming.Reader
 
getCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Builder
 
getCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Reader
 
getCategory() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Builder
 
getCategory() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Reader
 
getCategory() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireType.Builder
 
getCategory() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireType.Reader
 
getCell() - Method in class com.xilinx.rapidwright.design.CellPin
 
getCell(String) - Method in class com.xilinx.rapidwright.design.Design
Gets a cell by name from the instance
getCell(String) - Method in class com.xilinx.rapidwright.design.Module
Gets the named cell from the module
getCell() - Method in class com.xilinx.rapidwright.design.PinSwap
 
getCell(String) - Method in class com.xilinx.rapidwright.design.SiteInst
Gets a cell by BEL name
getCell(BEL) - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the cell at the BEL location in this site.
getCell(String) - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
Gets the name of the cell using the EDIF name (rename construct).
getCell(String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Iterates through libraries to find first cell with matching name and returns it.
getCell() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Builder
 
getCell() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Reader
 
getCell() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellInversion.Builder
 
getCell() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellInversion.Reader
 
getCell() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Builder
 
getCell() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Reader
 
getCell() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Builder
 
getCell() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Reader
 
getCell() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Builder
 
getCell() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Reader
 
getCellBelMap() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
getCellBelMap() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
getCellConstraints() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Builder
 
getCellConstraints() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Reader
 
getCellDecls() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
getCellDecls() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Reader
 
getCellInst() - Method in class com.xilinx.rapidwright.design.AbstractModuleInst
 
getCellInst(String) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
getCellInst() - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
getCellInstFromHierName(String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Based on a hierarchical string, this method will get the instance corresponding to the name provided.
getCellInsts() - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
getCellInversions() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
getCellInversions() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
getCellList() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
getCellList() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Reader
 
getCellMap() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the map of all cells in this site instance, keyed by BEL name.
getCellMap() - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
Gets and returns the current map of cells in the library.
getCellName() - Method in class com.xilinx.rapidwright.edif.EDIFCellInst
 
getCellName() - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
 
getCellName() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
getCellName() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Reader
 
getCellName() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysCell.Builder
 
getCellName() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysCell.Reader
 
getCellPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelPinEntry.Builder
 
getCellPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelPinEntry.Reader
 
getCellPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion.Builder
 
getCellPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion.Reader
 
getCellPin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Builder
 
getCellPin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Reader
 
getCellPinDefault(Series, Unisim, String) - Static method in class com.xilinx.rapidwright.design.CellPinStaticDefaults
 
getCellPinDefaultsMap() - Static method in class com.xilinx.rapidwright.design.CellPinStaticDefaults
 
getCellPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellInversion.Builder
 
getCellPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellInversion.Reader
 
getCellPinValue(NetType) - Static method in class com.xilinx.rapidwright.interchange.ConstantDefinitions
 
getCellPorts() - Method in class com.xilinx.rapidwright.edif.EDIFCellInst
 
getCells() - Method in class com.xilinx.rapidwright.design.Design
Gets all the cells in the design (unlike @link{SiteInst#getCells()}, routethrus are not included)
getCells() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets all cells in this site instance.
getCells() - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
 
getCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Builder
 
getCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Reader
 
getCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinitions.Builder
 
getCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinitions.Reader
 
getCellType() - Method in class com.xilinx.rapidwright.edif.EDIFCellInst
 
getCellType() - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
 
getCellType() - Method in class com.xilinx.rapidwright.edif.EDIFHierPortInst
 
getCellType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellParameterDefinition.Builder
 
getCellType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellParameterDefinition.Reader
 
getCellType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnections.Builder
 
getCellType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnections.Reader
 
getCenter(Device) - Method in class com.xilinx.rapidwright.design.TileRectangle
 
getCenterPoint(HashSet<Point>) - Static method in class com.xilinx.rapidwright.placer.blockplacer.SmallestEnclosingCircle
Returns a new point which is the center of the smallest enclosing circle on points.
getChannelPath() - Method in class com.xilinx.rapidwright.design.noc.NOCChannel
Gets the list of switches used to connect this NOC Channel
getChannels() - Method in class com.xilinx.rapidwright.design.noc.NOCConnection
Gets the map of channels that pertain to this connection.
getChannelType() - Method in class com.xilinx.rapidwright.design.noc.NOCChannel
Gets this channel's type
getChild(EDIFCellInst) - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
 
getChild(String) - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
Get a direct child
getChildren() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Gets the children of a RouteNode Object.
getChildren() - Method in class com.xilinx.rapidwright.util.RuntimeTracker
Gets the child runtime trackers.
getChipID() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getCircumcenter(Point, Point, Point) - Static method in class com.xilinx.rapidwright.placer.blockplacer.SmallestEnclosingCircle
Determines the circumcenter of a circle that circumscribes triangle abc.
getClassName() - Method in class com.xilinx.rapidwright.design.compare.DesignDiff
 
getClassName() - Method in class com.xilinx.rapidwright.edif.compare.EDIFDiff
 
getCLBMTileTypes() - Static method in class com.xilinx.rapidwright.util.Utils
 
getCLBTileTypes() - Static method in class com.xilinx.rapidwright.util.Utils
 
getCLEHeight() - Method in enum com.xilinx.rapidwright.device.Series
 
getClients() - Method in class com.xilinx.rapidwright.design.noc.NOCDesign
Gets all NOC clients part of this NOC design.
getClkName() - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
getClkNumber(RouteNode) - Method in class com.xilinx.rapidwright.router.Router
 
getClkRouteTiming() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets the clock enable net timing data file.
getClkRouteTiming() - Method in class com.xilinx.rapidwright.timing.ClkRouteTiming
 
getClockBuffer(String) - Method in class com.xilinx.rapidwright.design.blocks.BlockGuide
 
getClockDomain(Design, String) - Static method in class com.xilinx.rapidwright.design.DesignTools
 
getClockEdge() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Builder
 
getClockEdge() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Reader
 
getClockName() - Method in class com.xilinx.rapidwright.timing.TimingVertex
This will be used in a future release to get the name of the clock associated with this vertex.
getClockPeriod(String) - Method in class com.xilinx.rapidwright.design.blocks.BlockGuide
 
getClockRegion() - Method in class com.xilinx.rapidwright.bitstream.Block
Gets the corresponding clock region to which this configuration block belongs
getClockRegion(int, int) - Method in class com.xilinx.rapidwright.device.Device
Gets the clock region at the specific row and column index.
getClockRegion(String) - Method in class com.xilinx.rapidwright.device.Device
Gets the clock region by name, either CLOCKREGION_X2Y2 or X2Y2, both formats are accommodated.
getClockRegion() - Method in class com.xilinx.rapidwright.device.Site
Gets the clock region to which this site belongs.
getClockRegion(String) - Method in class com.xilinx.rapidwright.device.SLR
Gets the clock region in this SLR by the provided name (ex: "X0Y0")
getClockRegion() - Method in class com.xilinx.rapidwright.device.Tile
Gets the ClockRegion to which this Tile belongs
getClockRegionFromTile(String) - Method in class com.xilinx.rapidwright.device.Device
Gets the clock region to which the specified tile belongs.
getClockRegionFromTile(Tile) - Method in class com.xilinx.rapidwright.device.Device
Gets the clock region to which the specified tile belongs.
getClockRegionOfCellPin(String, Design) - Static method in class com.xilinx.rapidwright.timing.TimingGraph
Get the clock region that the cell pin resides in
getClockRegions() - Method in class com.xilinx.rapidwright.device.Device
Get the clock region grid.
getClockRegions() - Method in class com.xilinx.rapidwright.device.SLR
Gets the set of clock regions in this SLR.
getClocks() - Method in class com.xilinx.rapidwright.design.blocks.BlockGuide
 
getClocks() - Method in class com.xilinx.rapidwright.design.Module
Gets a map of clocks and their period constraints in nanoseconds.
getClocksWithBuffers() - Method in class com.xilinx.rapidwright.design.blocks.BlockGuide
 
getClockUncertaintyStep() - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
getClockUncertaintyValues() - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
getCode() - Method in enum com.xilinx.rapidwright.bitstream.CMDCode
Gets the integer representation of the command code.
getCode() - Method in enum com.xilinx.rapidwright.bitstream.OpCode
Gets the 2-bit code for the opcode.
getCol() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Builder
 
getCol() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Reader
 
getColumn(Series) - Method in class com.xilinx.rapidwright.bitstream.Block
Gets the column address of this block in the Frame Address Register (FAR).
getColumn() - Method in class com.xilinx.rapidwright.bitstream.FAR
Gets the current column to which the FAR address is currently set
getColumn() - Method in class com.xilinx.rapidwright.device.ClockRegion
Gets the column (X coordinate) to which this clock regions belongs.
getColumn() - Method in class com.xilinx.rapidwright.device.Tile
The absolute column index (0 starting at the left)
getColumnAddress(int, Series) - Static method in class com.xilinx.rapidwright.bitstream.FAR
Extracts the column address from a 32-bit FAR address.
getColumnLSB() - Method in enum com.xilinx.rapidwright.device.Series
 
getColumnMask() - Method in enum com.xilinx.rapidwright.device.Series
 
getColumns() - Method in class com.xilinx.rapidwright.device.Device
Gets and returns the number of columns of tiles in this device.
getColumnWidth() - Method in enum com.xilinx.rapidwright.device.Series
 
getCommand() - Method in class com.xilinx.rapidwright.util.Job
 
getCommandOutput(String[]) - Static method in class com.xilinx.rapidwright.util.FileTools
Generic method to run a command in the current shell and return its standard output and standard error
getComments() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
getCommonAncestor(EDIFHierCellInst) - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
Given this instance and the provided instance o, it determines the closest common ancestor between the two instances.
getCommonPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Builder
 
getCommonPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Reader
 
getCommonRow(Device, boolean) - Static method in class com.xilinx.rapidwright.device.helper.TileColumnPattern
Finds the first row that has populated BRAM, DSP, URAM, and CLB tiles to help identify tile column types.
getCommonRow(Device) - Static method in class com.xilinx.rapidwright.device.helper.TileColumnPattern
Finds the first row that has populated BRAM, DSP, URAM, and CLB tiles but no Laguna tiles to help identify tile column types.
getCommType() - Method in class com.xilinx.rapidwright.design.noc.NOCConnection
Gets the communication type associated with this connection.
getCompanionCell() - Method in class com.xilinx.rapidwright.design.PinSwap
 
getCompanionLogicalName() - Method in class com.xilinx.rapidwright.design.PinSwap
 
getCompanionLUT(Cell) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
From a placed LUT cell, get the companion LUT BEL location.
getCompanionLUTCell(Cell) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
From a placed LUT cell, get the cell in the companion LUT BEL location.
getCompanionLUTName(BEL) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
Gets the BEL's companion LUT.
getCompanionLUTName(String) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
Get the companion LUT name of the provided LUT name.
getComparePlacement() - Method in class com.xilinx.rapidwright.design.compare.DesignComparator
Gets the comparePlacement flag indicating if a design's placement (cells, sitepips, sitewire to net mappings) should be compared by DesignComparator.
getCompatiblePlacements() - Method in class com.xilinx.rapidwright.design.Cell
Provides a map of site type and bel names that support the specified unisim.
getComponentType() - Method in class com.xilinx.rapidwright.design.noc.NOCClient
Gets the component type of this client
getConfigArray() - Method in class com.xilinx.rapidwright.bitstream.Bitstream
Gets the current configuration layout for this bitstream.
getConfigArray() - Method in class com.xilinx.rapidwright.bitstream.FAR
Gets the current config array associated with the FAR
getConfigBlock(Tile) - Method in class com.xilinx.rapidwright.bitstream.ConfigArray
Get the configuration block that corresponds to the provided tile.
getConfigBlock(Tile, BlockType) - Method in class com.xilinx.rapidwright.bitstream.ConfigArray
Gets the configuration block that corresponds to the provided tile and block type.
getConfigBlock() - Method in class com.xilinx.rapidwright.bitstream.FAR
Gets the configuration block from current FAR address, assumes a single SLR or SLR with config order 0.
getConfigBlock(int) - Method in class com.xilinx.rapidwright.bitstream.FAR
Gets the configuration block from current FAR address.
getConfigOrderIndex() - Method in class com.xilinx.rapidwright.device.SLR
Gets the config order index of the SLR.
getConfigRow(int, int, int) - Method in class com.xilinx.rapidwright.bitstream.ConfigArray
Gets the configuration row based on blockType (CLB vs.
getConfigRow(int, int, int, int) - Method in class com.xilinx.rapidwright.bitstream.ConfigArray
Gets the configuration row based on blockType (CLB vs.
getConfigRowIndex() - Method in class com.xilinx.rapidwright.device.ClockRegion
Gets the configuration row index for this clock region.
getConfigRows() - Method in class com.xilinx.rapidwright.bitstream.ConfigArray
Gets the rows in the config array (in config order)
getConnectedBELPins(BELPin, SiteInst) - Static method in class com.xilinx.rapidwright.design.DesignTools
Looks in the site instance for BEL pins connected to this BEL pin and SiteInst.
getConnectedBELPins(SitePinInst) - Static method in class com.xilinx.rapidwright.design.DesignTools
Looks in the site instance for BEL pins connected to this site pin.
getConnectedCells(BELPin, SiteInst) - Static method in class com.xilinx.rapidwright.design.DesignTools
Looks in the site instance for cells connected to this BEL pin and SiteInst.
getConnectedCells(SitePinInst) - Static method in class com.xilinx.rapidwright.design.DesignTools
Looks in the site instance for cells connected to this site pin.
getConnectedInputPinOnBEL(BEL) - Method in class com.xilinx.rapidwright.device.BELPin
Examines this pin and all pins connected on its site wire for an input pin on the provided BEL.
getConnectedNets() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets and returns the nets that connect to this instance.
getConnectedNode() - Method in class com.xilinx.rapidwright.design.SitePinInst
Creates a new node connected to this site pin.
getConnectedNode(String) - Method in class com.xilinx.rapidwright.device.Site
Creates and returns the corresponding node that connects to specified site pin provided.
getConnectedNode(int) - Method in class com.xilinx.rapidwright.device.Site
Creates and returns the corresponding node that connects to specified site pin provided.
getConnectedPaths(ModuleInstT) - Method in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
 
getConnectedPaths(ModuleImplsInst) - Method in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2Impls
 
getConnectedPaths(HardMacro) - Method in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2Module
 
getConnectedPaths() - Method in class com.xilinx.rapidwright.placer.blockplacer.HardMacro
 
getConnectedPortWires() - Method in class com.xilinx.rapidwright.placer.blockplacer.HardMacro
 
getConnectedSitePinName() - Method in class com.xilinx.rapidwright.device.BELPin
Gets the site pin name of the site pin connected to this element pin.
getConnectedWireIndex() - Method in class com.xilinx.rapidwright.design.SitePinInst
Gets the index of the tile wire connected to this site pin.
getConnectionFrom(String) - Method in class com.xilinx.rapidwright.design.noc.NOCSlave
Gets the corresponding connection based on master client name.
getConnectionPIPs(SitePinInst) - Static method in class com.xilinx.rapidwright.design.DesignTools
Finds the essential PIPs which connect the provided sink pin to its source.
getConnectionPIPs(Connection) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Gets a list of PIP instances for routing a connection.
getConnections() - Method in class com.xilinx.rapidwright.design.noc.NOCClient
Gets the connections associated with this NOC client
getConnections() - Method in class com.xilinx.rapidwright.router.RouteNode
Gets all the possible connections to leaving this node
getConnections() - Method in class com.xilinx.rapidwright.rwroute.NetWrapper
 
getConnectionTo(String) - Method in class com.xilinx.rapidwright.design.noc.NOCMaster
Gets the corresponding connection based on slave client name.
getConstant() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.NodeConstantSource.Builder
 
getConstant() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.NodeConstantSource.Reader
 
getConstant() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource.Builder
 
getConstant() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource.Reader
 
getConstant() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireConstantSources.Builder
 
getConstant() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireConstantSources.Reader
 
getConstants() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
getConstants() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
getConstants() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Builder
 
getConstants() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Reader
 
getConstantSource(BEL) - Method in class com.xilinx.rapidwright.interchange.ConstantDefinitions
 
getConstraints() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
getConstraints() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
getContainerName(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Translates RapidWright data file names to Azure Blob Container-friendly names
getContext() - Method in class com.xilinx.rapidwright.design.compare.DesignDiff
 
getContext() - Method in class com.xilinx.rapidwright.edif.compare.EDIFDiff
 
getConventional() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
getConventional() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Reader
 
getCopyOfPIPs() - Method in class com.xilinx.rapidwright.design.Net
Gets a complete copy of the PIPs of this net.
getCopyValue() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
getCopyValue() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Reader
 
getCornerModel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Builder
 
getCornerModel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Reader
 
getCorresponding(Tile, Tile) - Method in class com.xilinx.rapidwright.design.RelocatableTileRectangle
 
getCorrespondingAnchorTile(Tile, Tile, Device) - Method in class com.xilinx.rapidwright.design.Module
This method allows calculates the proposed anchor tile if the templateTile within the module is placed at the newTile location.
getCorrespondingBELInputPins(BELPin, SiteInst) - Static method in class com.xilinx.rapidwright.design.DesignTools
 
getCorrespondingBELOutputPins(BELPin, SiteInst) - Static method in class com.xilinx.rapidwright.design.DesignTools
TODO - Work in progress
getCorrespondingNet(Port) - Method in class com.xilinx.rapidwright.design.ModuleInst
Gets (if it exists), the corresponding net within the module instance of the port.
getCorrespondingNet(EDIFHierPortInst, Design) - Method in class com.xilinx.rapidwright.ipi.BlockStitcher
 
getCorrespondingPins(Port) - Method in class com.xilinx.rapidwright.design.ModuleInst
Gets the corresponding port pins (SitePinInst) on this module instance that corresponds to the module's port.
getCorrespondingRBELInputPin(BELPin, SiteInst) - Static method in class com.xilinx.rapidwright.design.DesignTools
Uses SitePIP information in the site instance to determine the driving input of the RBEL element output pin.
getCorrespondingRBELOutputPin(BELPin, SiteInst) - Static method in class com.xilinx.rapidwright.design.DesignTools
Returns the element's output pin corresponding that is being driven by the provided input pin.
getCorrespondingSite(SiteInst, Site) - Method in class com.xilinx.rapidwright.design.Module
Get the corresponding Site of the given SiteInst if the module is relocated.
getCorrespondingSite(SiteTypeEnum, Tile) - Method in class com.xilinx.rapidwright.device.Site
A method to get the corresponding primitive site for current in a different tile.
getCorrespondingSiteInst(Design, SiteInst, Site, Module) - Static method in class com.xilinx.rapidwright.design.DesignTools
Gets or creates the corresponding SiteInst from the prototype orig from a module.
getCorrespondingSitePinName(String, String, List<String>) - Method in class com.xilinx.rapidwright.design.Cell
Finds the corresponding site pin name of the site to be used to drive/source from the logical pin name provided on this cell.
getCorrespondingSitePinName(String) - Method in class com.xilinx.rapidwright.design.Cell
Finds the corresponding site pin name of the site to be used to drive/source from the logical pin name provided on this cell.
getCorrespondingTile(Tile, Tile) - Method in class com.xilinx.rapidwright.design.Module
This method will calculate and return the corresponding tile of a module for a new anchor location.
getCorrespondingTile(Tile, Tile, Tile, Tile[][]) - Static method in class com.xilinx.rapidwright.design.Module
This method will calculate and return the corresponding tile of a module for a new anchor location for a given anchor location.
getCorrespondingTile(Tile, Tile, Tile) - Static method in class com.xilinx.rapidwright.design.Module
This method will calculate and return the corresponding tile of a module for a new anchor location for a given anchor location.
getCorrespondingTile(Tile, Tile) - Method in class com.xilinx.rapidwright.design.ModuleInst
This method will calculate and return the corresponding tile of a module instance.
getCost() - Method in class com.xilinx.rapidwright.router.RouteNode
Returns the current cost of this node
getCount() - Method in class com.xilinx.rapidwright.util.JobQueue
 
getCRCValue() - Method in class com.xilinx.rapidwright.bitstream.CRC
 
getCRIndicesInConfigRowOrder(Device) - Static method in class com.xilinx.rapidwright.bitstream.ConfigArray
Returns an array of clock region indices that correspond to the order in which they appear in the contiguous FAR address space.
getCriticality() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
getCriticalityExponent() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the criticality exponent that is used in calculating connections' criticalities to spread the criticalities of less critical connections and more critical connections apart.
getCriticalPathInfo(Pair<Float, TimingVertex>, boolean, RouteNodeGraph) - Method in class com.xilinx.rapidwright.timing.TimingManager
 
getCriticalTimingEdgesInOrder(TimingVertex) - Method in class com.xilinx.rapidwright.timing.TimingGraph
Get a list of timing edges consisting of the critical path
getCstFile() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getCurrentDataVersion(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Returns the expected MD5 sum of the named data file
getCurrentFAR() - Method in class com.xilinx.rapidwright.bitstream.FAR
Gets the current address of the FAR object.
getCurrentModuleImplementation() - Method in class com.xilinx.rapidwright.design.ModuleImplsInst
 
getCurrVal(long, int) - Static method in class com.xilinx.rapidwright.design.DesignTools
 
getCurrX() - Method in class com.xilinx.rapidwright.examples.tilebrowser.PartTileBrowserScene
 
getCurrX() - Method in class com.xilinx.rapidwright.gui.TileScene
 
getCurrY() - Method in class com.xilinx.rapidwright.examples.tilebrowser.PartTileBrowserScene
 
getCurrY() - Method in class com.xilinx.rapidwright.gui.TileScene
 
getData() - Method in class com.xilinx.rapidwright.bitstream.Packet
Gets the data (payload) of this packet
getData() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bitstring.Builder
 
getData() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bitstring.Reader
 
getDate() - Method in class com.xilinx.rapidwright.bitstream.BitstreamHeader
Gets the recorded 'date created' from the bitstream header
getDCPAutoGenMD5FilePath(Path, Path) - Static method in class com.xilinx.rapidwright.design.DesignTools
Gets the corresponding MD5 file path for a DCP that has had its EDIF file auto-generated
getDCPFilenameFilter() - Static method in class com.xilinx.rapidwright.util.FileTools
Gets a filename filter for DCP files (ends with .dcp).
getDebugBitstreamFARWrites(Bitstream) - Static method in class com.xilinx.rapidwright.bitstream.Bitstream
When given a debug bitstream (see UG908 - Table A-1, BITSTREAM.GENERAL.DEBUGBITSTREAM), this method will extract the LOUT writes that provide the consecutive list of FAR addresses of the device.
getDecompressedGZIPFileName(Path) - Static method in class com.xilinx.rapidwright.util.FileTools
Gets a Path to the corresponding uncompressed name of the provided path.
getDefault() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
getDefault() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Reader
 
getDefault() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition.Builder
 
getDefault() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition.Reader
 
getDefaultBestConstant() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
getDefaultBestConstant() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Reader
 
getDefaultCellConns() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
getDefaultCellConns() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Reader
 
getDefaultCellProperties(Series, String) - Static method in class com.xilinx.rapidwright.design.Design
Returns a map of all default cell properties for the specified cell.
getDefaultPinMapping(String) - Method in class com.xilinx.rapidwright.design.Cell
Gets the default physical pin mapping for specified logical pin mapping on this cell
getDefaultProperty(Series, String, String) - Static method in class com.xilinx.rapidwright.design.Design
Gets the default property (type and value) for the specified cell and property name.
getDefaultReadableEDIFDir(Path) - Static method in class com.xilinx.rapidwright.design.DesignTools
Gets the corresponding EDIF directory created when auto generating EDIF from Vivado.
getDelay() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Builder
 
getDelay() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Reader
 
getDelay() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP.Builder
 
getDelay() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP.Reader
 
getDelay() - Method in class com.xilinx.rapidwright.placer.blockplacer.Path
 
getDelay() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Gets the delay of a RouteNode Object.
getDelay() - Method in class com.xilinx.rapidwright.timing.TimingEdge
Gets the total delay in ps for this edge.
getDelay(GraphPath<TimingVertex, TimingEdge>) - Method in class com.xilinx.rapidwright.timing.TimingGraph
Gets the delay/weight of a GraphPath
getDelayFromSource() - Method in class com.xilinx.rapidwright.rwroute.LightweightRouteNode
Gets the accumulative delay from a source to a RoutingNode instance if it is an used resource of a routing path.
getDelayModel() - Method in class com.xilinx.rapidwright.timing.TimingModel
 
getDelayOf(Node) - Method in class com.xilinx.rapidwright.timing.delayestimator.DelayEstimatorBase
Get delay of the node group of the given exit node.
getDelaysPerSink() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getDelayType() - Method in class com.xilinx.rapidwright.timing.TimingGroup
 
getDeleted() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Builder
 
getDeleted() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Reader
 
getDeltaCost() - Method in class com.xilinx.rapidwright.placer.blockplacer.Move
 
getDeltaCost() - Method in class com.xilinx.rapidwright.placer.blockplacer.Move2
 
getDepopulatedLogicalName() - Method in class com.xilinx.rapidwright.design.PinSwap
This gets the previous logical pin mapping of the new physical pin name being moved.
getDepth() - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
 
getDepth() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef.Builder
 
getDepth() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef.Reader
 
getDepth() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef.Builder
 
getDepth() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef.Reader
 
getDepth() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef.Builder
 
getDepth() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef.Reader
 
getDepth() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef.Builder
 
getDepth() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef.Reader
 
getDepth() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef.Builder
 
getDepth() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef.Reader
 
getDepth() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef.Builder
 
getDepth() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef.Reader
 
getDescription() - Method in enum com.xilinx.rapidwright.bitstream.RegisterType
A short description of the purpose of this register.
getDescription() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Builder
 
getDescription() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Reader
 
getDescription() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
getDescription() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Reader
 
getDesign() - Method in class com.xilinx.rapidwright.design.ModuleInst
 
getDesign() - Method in class com.xilinx.rapidwright.design.Net
Gets the design to which this net belongs.
getDesign() - Method in class com.xilinx.rapidwright.design.noc.NOCDesign
Gets the main design corresponding to this NOCDesign
getDesign() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets and returns the design which this instance is a part of.
getDesign() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
getDesign() - Method in class com.xilinx.rapidwright.gui.TileScene
 
getDesign() - Method in class com.xilinx.rapidwright.router.AbstractRouter
 
getDesign() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getDesign() - Method in class com.xilinx.rapidwright.rwroute.RWRoute
 
getDesign() - Method in class com.xilinx.rapidwright.timing.TimingManager
Gets the corresponding design used in creating this TimingManager.
getDesign() - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
getDesignName() - Method in class com.xilinx.rapidwright.bitstream.Bitstream
Gets the design name from the header
getDesignName() - Method in class com.xilinx.rapidwright.bitstream.BitstreamHeader
Gets the design name as stored in the bitstream header
getDesignTimingRequirement(Design) - Static method in class com.xilinx.rapidwright.timing.TimingManager
 
getDest() - Method in class com.xilinx.rapidwright.design.noc.NOCConnection
Gets the destination or NOC slave for this connection.
getDevice() - Method in class com.xilinx.rapidwright.bitstream.Bitstream
Gets the target device using part name information from the header.
getDevice() - Method in class com.xilinx.rapidwright.bitstream.ConfigArray
Gets the device associated with this config array
getDevice() - Method in class com.xilinx.rapidwright.bitstream.FAR
Gets the current device associated with this FAR
getDevice() - Method in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
getDevice() - Method in class com.xilinx.rapidwright.design.blocks.PBlock
 
getDevice() - Method in class com.xilinx.rapidwright.design.blocks.PBlockRange
 
getDevice() - Method in class com.xilinx.rapidwright.design.Design
Gets the device specific to this part and returns it.
getDevice() - Method in class com.xilinx.rapidwright.design.Module
Gets the device object used by this module
getDevice() - Method in class com.xilinx.rapidwright.design.ModuleImpls
 
getDevice() - Method in interface com.xilinx.rapidwright.design.PBlockCorner
 
getDevice() - Method in class com.xilinx.rapidwright.device.ClockRegion
Gets the corresponding device parent for this clock region
getDevice(Part) - Static method in class com.xilinx.rapidwright.device.Device
Gets the unique instance of a device by part, (devices are unique by device name), or loads the device from file if it hasn't been loaded.
getDevice(String) - Static method in class com.xilinx.rapidwright.device.Device
Gets the unique instance of a device by part name, (devices are unique by device name), or loads the device from file if it hasn't been loaded.
getDevice() - Method in class com.xilinx.rapidwright.device.Package
Gets the corresponding device
getDevice() - Method in class com.xilinx.rapidwright.device.Part
 
getDevice() - Method in class com.xilinx.rapidwright.device.Site
Gets the device this site belongs to.
getDevice() - Method in class com.xilinx.rapidwright.device.SLR
Gets the device to which this SLR belongs
getDevice() - Method in class com.xilinx.rapidwright.device.Tile
Gets the device to which this tile belongs.
getDevice() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
getDevice() - Method in class com.xilinx.rapidwright.gui.TileScene
 
getDevice() - Method in class com.xilinx.rapidwright.timing.TimingManager
Gets the corresponding device used in creating this TimingManager.
getDeviceAndPackage(Part) - Static method in class com.xilinx.rapidwright.device.PartNameTools
Gets the canonical device name and package combination.
getDeviceFolderResourceName() - Static method in class com.xilinx.rapidwright.util.FileTools
 
getDeviceResourceCache(Part) - Static method in class com.xilinx.rapidwright.util.FileTools
 
getDeviceResourceName(Part) - Static method in class com.xilinx.rapidwright.util.FileTools
 
getDeviceResourceSuffix(Part) - Static method in class com.xilinx.rapidwright.util.FileTools
 
getDeviceVersion(Part) - Static method in class com.xilinx.rapidwright.device.Device
Looks at the current device file for the part name specified and retrieves its current RapidWright device version.
getDiffCount() - Method in class com.xilinx.rapidwright.design.compare.DesignComparator
Gets the total number of differences encountered since the last call of DesignComparator.compareDesigns(Design, Design).
getDiffList(DesignDiffType) - Method in class com.xilinx.rapidwright.design.compare.DesignComparator
 
getDiffMap() - Method in class com.xilinx.rapidwright.design.compare.DesignComparator
 
getDiffPairPin() - Method in class com.xilinx.rapidwright.device.PackagePin
Gets the differential paired pin with this package pin.
getDir() - Method in class com.xilinx.rapidwright.device.BELPin
Gets the direction of the BEL pin
getDir(PinType) - Static method in enum com.xilinx.rapidwright.edif.EDIFDirection
 
getDir(BELPin.Direction) - Static method in enum com.xilinx.rapidwright.edif.EDIFDirection
 
getDir() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELPin.Builder
 
getDir() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELPin.Reader
 
getDir() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Builder
 
getDir() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Reader
 
getDir() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Builder
 
getDir() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Reader
 
getDirection() - Method in class com.xilinx.rapidwright.edif.EDIFPort
 
getDirection() - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
getDirection() - Method in class com.xilinx.rapidwright.timing.TimingGroup
 
getDirectional() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
getDirectional() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Reader
 
getDirectorySeparator() - Static method in class com.xilinx.rapidwright.util.FileTools
Gets and returns the file separator character for the given OS
getDistArrayInIntTileGrid(int, int[], int[], int[], int[], int, Pattern, TimingModel.GetTileAt) - Method in class com.xilinx.rapidwright.timing.TimingModel
 
getDottyFile() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getDoubleHpwl() - Method in class com.xilinx.rapidwright.rwroute.NetWrapper
 
getDrawnTileX(Tile) - Method in class com.xilinx.rapidwright.gui.TileScene
 
getDrawnTileY(Tile) - Method in class com.xilinx.rapidwright.gui.TileScene
 
getDrivenBELPins(BELPin) - Static method in class com.xilinx.rapidwright.design.DesignTools
Gets the driven element pins of either a site port input or an element output pin.
getDrivers(boolean) - Method in class com.xilinx.rapidwright.interchange.RouteBranchNode
 
getDrivingBELPin(SitePinInst) - Static method in class com.xilinx.rapidwright.design.DesignTools
Gets the originating driving element pin that corresponds to the given site pin.
getDrivingBELPin(BELPin) - Static method in class com.xilinx.rapidwright.design.DesignTools
Gets the driving element pin of either a site port output or an element input pin.
getDrivingCell(SitePinInst) - Static method in class com.xilinx.rapidwright.design.DesignTools
Tries to determine the driving cell within the site from the output site pin.
getDsp() - Method in class com.xilinx.rapidwright.device.Part
 
getDSPTileTypes() - Static method in class com.xilinx.rapidwright.util.Utils
 
getDspTimingDataFolder() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the DSP timing data folder that contains DSP timing data files for the current design to be routed.
getDst() - Method in class com.xilinx.rapidwright.timing.TimingEdge
Gets the second vertex of this edge.
getEDFAutoGenFilePath(Path, Path) - Static method in class com.xilinx.rapidwright.design.DesignTools
Gets the path to the auto-generated EDIF file for the provided DCP and EDIF directory.
getEdgeGroupsFile() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getEDIFCellInst() - Method in class com.xilinx.rapidwright.design.Cell
Gets the logical netlist cell instance corresponding to this cell.
getEDIFHierCellInst() - Method in class com.xilinx.rapidwright.design.Cell
Gets the hierarchical logical netlist cell instance corresponding to this cell.
getEdifNet() - Method in class com.xilinx.rapidwright.timing.TimingEdge
Gets the logical "EDIFNet" object associated with this edge, if one has been set.
getEDIFRename(EDIFName) - Method in class com.xilinx.rapidwright.edif.EDIFReadLegalNameCache
 
getEDIFRename(String) - Method in class com.xilinx.rapidwright.edif.EDIFWriteLegalNameCache
 
getEDIFView() - Method in class com.xilinx.rapidwright.edif.EDIFCell
Gets the EDIFName object representation of the view name
getEDNFilenameFilter() - Static method in class com.xilinx.rapidwright.util.FileTools
Gets a filename filter for EDN files (ends with .edn).
getEmitter() - Method in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
getEncodedConfigCode(String) - Method in interface com.xilinx.rapidwright.timing.DelayModel
TODO - Revisit this as part of the DelayModel Gets the encoded config code for the value (belname:paramsetting)
getEncodedConfigCode(String) - Method in class com.xilinx.rapidwright.timing.SmallDelayModel
 
getEncryptedCells() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Gets the list of EDN filenames that were present in the original directory where the EDIF file was loaded from.
getEndNode() - Method in class com.xilinx.rapidwright.device.PIP
Creates and returns the end node associated with the start wire of this PIP.
getEndNode(PIP) - Method in class com.xilinx.rapidwright.router.RouteNode
Creates a new node representing the end wire of this PIP
getEndRouteNode() - Method in class com.xilinx.rapidwright.device.PIP
Creates and returns the end node associated with the start wire of this PIP.
getEndTileXCoordinate() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Gets the x coordinate of the INT Tile instance that the associated Node instance stops at.
getEndTileYCoordinate() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Gets the Y coordinate of the INT Tile instance that the associated Node instance stops at.
getEndWire() - Method in class com.xilinx.rapidwright.device.PIP
Creates a new Wire object to represent the end wire of this PIP.
getEndWire() - Method in class com.xilinx.rapidwright.device.PIPWires
 
getEndWire() - Method in class com.xilinx.rapidwright.device.PseudoPIPHelper
 
getEndWireIndex() - Method in class com.xilinx.rapidwright.device.PIP
Gets and returns the end wire id of this PIP.
getEndWireName() - Method in class com.xilinx.rapidwright.device.PIP
Gets the name of the end wire of the PIP.
getEntries() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Builder
 
getEntries() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Reader
 
getEnum(String) - Static method in enum com.xilinx.rapidwright.edif.EDIFDirection
 
getEnumerationMap() - Method in class com.xilinx.rapidwright.device.helper.HashPool
 
getEnumerations() - Method in class com.xilinx.rapidwright.device.helper.HashPool
 
getEnumerationValue(E) - Method in class com.xilinx.rapidwright.device.helper.HashPool
Gets the Integer enumeration of the object based on the HashPool.
getEquation() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Builder
 
getEquation() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Reader
 
getEstimatedBandwidth() - Method in class com.xilinx.rapidwright.design.noc.NOCChannel
Gets the estimated bandwidth expected for this channel (MB/s)
getEstimatedLatency() - Method in class com.xilinx.rapidwright.design.noc.NOCChannel
Gets the estimated latency achieved on this channel (in cycles)
getEstimatedReadBandwidth() - Method in class com.xilinx.rapidwright.design.noc.NOCConnection
Gets the estimated read bandwidth in MB/s of this connection.
getEstimatedWriteBandwidth() - Method in class com.xilinx.rapidwright.design.noc.NOCConnection
Gets the estimated write bandwidth in MB/s of this connection.
getExceptionMap() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
getExceptionMap() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
getExcludedNodes() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getExecJarStoragePath() - Static method in class com.xilinx.rapidwright.util.FileTools
 
getExpectedMD5(String, String) - Static method in class com.xilinx.rapidwright.util.Installer
 
getExportOutOfContext() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the flag indicating if the design returned after routing should be marked out of context.
getExtensionXIncrement() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the extension increment that connections' bounding boxes should be enlarged by horizontally.
getExtensionYIncrement() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the extension increment that connections' bounding boxes should be enlarged by vertically.
getExternallyReferencedCells() - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
Creates a list of all cells that have references outside of this library.
getExternallyReferencedLibraries() - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
Creates a collections of libraries that are referenced by cell instances within this library.
getExternalNode(Site) - Method in class com.xilinx.rapidwright.device.BELPin
If this BELPin corresponds to a Site pin, it gets the connected Node from the inter-site routing network and returns it.
getExtPort() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Builder
 
getExtPort() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Reader
 
getExtraDelay(Node, boolean) - Static method in class com.xilinx.rapidwright.timing.delayestimator.DelayEstimatorBase
Return an extra delay if both parent and child are long node.
getFamily() - Method in class com.xilinx.rapidwright.device.Part
 
getFamilyType() - Method in class com.xilinx.rapidwright.device.Device
Gets the family type of this device.
getFanOut() - Method in class com.xilinx.rapidwright.design.Net
Gets the fan-out (number of sinks) of the net.
getFARConfigRowIndex(Tile) - Static method in class com.xilinx.rapidwright.bitstream.FAR
Gets the FAR row index based on the the tile's clock region.
getFast() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Builder
 
getFast() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Builder
 
getFast() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Reader
 
getFast() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Reader
 
getField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef.Builder
 
getField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef.Reader
 
getField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef.Builder
 
getField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef.Reader
 
getField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef.Builder
 
getField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef.Reader
 
getField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef.Builder
 
getField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef.Reader
 
getField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.StringRef.Builder
 
getField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.StringRef.Reader
 
getField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef.Builder
 
getField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef.Reader
 
getField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef.Builder
 
getField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef.Reader
 
getField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef.Builder
 
getField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef.Reader
 
getField() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef.Builder
 
getField() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef.Reader
 
getField() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef.Builder
 
getField() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef.Reader
 
getField() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef.Builder
 
getField() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef.Reader
 
getField() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef.Builder
 
getField() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef.Reader
 
getField() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef.Builder
 
getField() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef.Reader
 
getFileName() - Method in class com.xilinx.rapidwright.edif.EDIFTokenizer
 
getFilenameFilter(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Creates a custom filename filter that uses the provided matches string on the name of the file (not the path).
getFileSize(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Gets the size of the file in bytes.
getFirst() - Method in class com.xilinx.rapidwright.util.Pair
 
getFirstCellToken() - Method in class com.xilinx.rapidwright.edif.ParallelEDIFParserWorker
 
getFirstPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Builder
 
getFirstPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Reader
 
getFirstPin() - Method in class com.xilinx.rapidwright.timing.TimingEdge
For debug, gets the first SitePinInst for this edge.
getFlag(int) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
 
getFlipflops() - Method in class com.xilinx.rapidwright.device.Part
 
getFlopInput() - Method in class com.xilinx.rapidwright.timing.TimingVertex
This is used for checking if the vertex represents on input to a flip flop.
getFlopOutput() - Method in class com.xilinx.rapidwright.timing.TimingVertex
This is used for checking if the vertex represents an output from a flip flop.
getFormat() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition.Builder
 
getFormat() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition.Reader
 
getForward() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Builder
 
getForward() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Reader
 
getForwardPIPs() - Method in class com.xilinx.rapidwright.device.Wire
Helper method to get corresponding PIPs driven by this wire
getForwardPIPs() - Method in class com.xilinx.rapidwright.router.RouteNode
 
getFrame(int) - Method in class com.xilinx.rapidwright.bitstream.Block
Gets the frame within the block at the specified offset.
getFrame(FAR) - Method in class com.xilinx.rapidwright.bitstream.ConfigArray
Gets the frame in SLR0 (or monolithic devices) by default at the frame address register specified.
getFrame(FAR, int) - Method in class com.xilinx.rapidwright.bitstream.ConfigArray
For multi-SLR devices, the FAR has the same addresses as other, so all config rows must use an offset for the SLR.
getFrameCount() - Method in class com.xilinx.rapidwright.bitstream.Block
Gets the number of frames in this configuration block.
getFrameIdx() - Method in class com.xilinx.rapidwright.bitstream.BitLocation
Gets the frame index (index of the frame within the configuration Block)
getFrames() - Method in class com.xilinx.rapidwright.bitstream.Block
Gets the array of frames corresponding to this block.
getFramesPerBlock() - Method in class com.xilinx.rapidwright.bitstream.BlockSubType
Gets the number of frames in this configuration block
getFrequency() - Method in class com.xilinx.rapidwright.design.noc.NOCDesign
Gets the target frequency of this NOC design.
getFrom() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapEntry.Builder
 
getFrom() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapEntry.Reader
 
getFullArchitectureName(FamilyType) - Static method in class com.xilinx.rapidwright.device.PartNameTools
This method will return a full architecture name as stored in Vivado.
getFullHierarchicalInstName() - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
 
getFullHierarchicalInstName() - Method in class com.xilinx.rapidwright.edif.EDIFHierPortInst
Returns the full hierarchical name of the instance on which this port resides.
getFullHierarchy() - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
 
getFullName() - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
getGbTransceivers() - Method in class com.xilinx.rapidwright.device.Part
 
getGetCellsArgs() - Method in class com.xilinx.rapidwright.design.blocks.SubPBlock
 
getGMI(String) - Method in class com.xilinx.rapidwright.placer.handplacer.FloorPlanScene
 
getGndCellPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
getGndCellPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Reader
 
getGndCellType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
getGndCellType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Reader
 
getGndNet() - Method in class com.xilinx.rapidwright.design.Design
Get the global ground net called
getGndNetName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
getGndNetName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Reader
 
getGrades() - Method in class com.xilinx.rapidwright.device.Package
Gets a list of all speed/temperature grade variations for this package
getGrades() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Builder
 
getGrades() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Reader
 
getGraphPaths() - Method in class com.xilinx.rapidwright.timing.TimingGraph
Returns a set of built GraphPaths.
getHDfromBUF(RouteNode, boolean) - Method in class com.xilinx.rapidwright.router.Router
 
getHDIPrimitive(Unisim) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Will create or get the specified unisim cell and ensure it is added to the HDI primitives library.
getHDIPrimitivesLibrary() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
getHDISTRCol(RouteNode, boolean) - Method in class com.xilinx.rapidwright.router.Router
 
getHDISTRfromVHROUTE(RouteNode, boolean) - Method in class com.xilinx.rapidwright.router.Router
 
getHeader() - Method in class com.xilinx.rapidwright.bitstream.Bitstream
Gets the stored header of the bitstream
getHeader() - Method in class com.xilinx.rapidwright.bitstream.Packet
Gets the header word (first word) in the packet
getHeaderValue() - Method in enum com.xilinx.rapidwright.bitstream.OpCode
Gets the header int representation for this opcode.
getHeaderValue() - Method in enum com.xilinx.rapidwright.bitstream.PacketType
Gets the packet header representation for this packet type
getHeaderValue() - Method in enum com.xilinx.rapidwright.bitstream.RegisterType
Gets the Register address field for a type 1 packet for this register
getHeight() - Method in class com.xilinx.rapidwright.design.TileRectangle
 
getHide() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.HashSet.Builder
 
getHide() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.HashSet.Reader
 
getHide() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.HashSet.Builder
 
getHide() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.HashSet.Reader
 
getHide() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.HashSet.Builder
 
getHide() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.HashSet.Reader
 
getHierarchicalInst() - Method in class com.xilinx.rapidwright.edif.EDIFHierNet
 
getHierarchicalInst() - Method in class com.xilinx.rapidwright.edif.EDIFHierPortInst
 
getHierarchicalInstName() - Method in class com.xilinx.rapidwright.edif.EDIFHierNet
 
getHierarchicalInstName(EDIFPortInst) - Method in class com.xilinx.rapidwright.edif.EDIFHierNet
Given a port on the net, gives the full hierarchical name of the instance attached to the port.
getHierarchicalInstName() - Method in class com.xilinx.rapidwright.edif.EDIFHierPortInst
The name of the parent instance cell that contains the instance cell pin.
getHierarchicalNet() - Method in class com.xilinx.rapidwright.edif.EDIFHierPortInst
 
getHierarchicalNetName() - Method in class com.xilinx.rapidwright.edif.EDIFHierNet
 
getHierarchicalNetName() - Method in class com.xilinx.rapidwright.edif.EDIFHierPortInst
 
getHierCellInstFromName(String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Creates a new hierarchical cell instance reference from the provided hierarchical cell instance name
getHierNetFromName(String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Gets the hierarchical net from the netname provided.
getHierPortInstFromName(String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Gets the hierarchical port instance object from the full name.
getHierPortInsts() - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
Gets the hierarchical port insts on this cell instance
getHighBit() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Builder
 
getHighBit() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Reader
 
getHighestInputIndex() - Method in class com.xilinx.rapidwright.device.BEL
Gets the highest index of an input pin on the BEL.
getHighestInputPinIndex() - Method in class com.xilinx.rapidwright.device.Site
The largest site pin index that is an input.
getHighestSitePinInputIndex() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets and returns the index of the last site pin in this site type (names are ordered with inputs first)
getHistoricalCongestionCost() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Gets the historical congestion cost of a RouteNode Object.
getHistoricalCongestionFactor() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the historical congestion cost penalty factor.
getHistory() - Method in class com.xilinx.rapidwright.router.RouteNode
 
getHMTile(Tile) - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
 
getHorDistArrayInIntTileGrid() - Method in class com.xilinx.rapidwright.timing.TimingModel
 
getHorK0Coefficients() - Method in class com.xilinx.rapidwright.timing.TimingModel
 
getHorK1Coefficients() - Method in class com.xilinx.rapidwright.timing.TimingModel
 
getHorK2Coefficients() - Method in class com.xilinx.rapidwright.timing.TimingModel
 
getHorLCoefficients() - Method in class com.xilinx.rapidwright.timing.TimingModel
 
getHPWL() - Method in class com.xilinx.rapidwright.placer.blockplacer.Path
 
getHpwl() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
getId() - Method in class com.xilinx.rapidwright.device.IOBank
Gets the ID of the IOBank
getId() - Method in class com.xilinx.rapidwright.device.SLR
Gets the ID or index of this SLR
getIDCode(Device) - Static method in class com.xilinx.rapidwright.bitstream.IDCode
Gets the unique device ID code
getIDCode(Device, int) - Static method in class com.xilinx.rapidwright.bitstream.IDCode
Gets the ID code for devices with multi SLRs.
getIDCode(String) - Static method in class com.xilinx.rapidwright.bitstream.IDCode
Gets the unique device ID code
getIdx() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Builder
 
getIdx() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Reader
 
getImplementationIndex() - Method in class com.xilinx.rapidwright.design.Module
 
getImplementations() - Method in class com.xilinx.rapidwright.design.blocks.BlockGuide
 
getImplGuide() - Method in class com.xilinx.rapidwright.design.blocks.BlockScene
 
getImplies() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Builder
 
getImplies() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Reader
 
getImplIndex() - Method in class com.xilinx.rapidwright.design.blocks.BlockInst
 
getIndex() - Method in class com.xilinx.rapidwright.device.BELPin
Gets the index of the pin within the BEL.
getIndex() - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
getIndex(T) - Method in class com.xilinx.rapidwright.interchange.IdentityEnumerator
 
getIndex() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Builder
 
getIndex() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Reader
 
getIndex(Long) - Method in class com.xilinx.rapidwright.interchange.LongEnumerator
 
getIndex(String) - Method in class com.xilinx.rapidwright.interchange.StringEnumerator
 
getInitialPresentCongestionFactor() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the initial present congestion cost penalty factor.
getInitParam() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Builder
 
getInitParam() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Reader
 
getInitValue(String) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
Converts the Verilog-syntax number literal for the LUT initialization to a primitive long integer.
getInpin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP.Builder
 
getInpin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP.Reader
 
getInputCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Builder
 
getInputCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Reader
 
getInputOutputDelays() - Method in class com.xilinx.rapidwright.timing.DSPTimingData
 
getInputPin() - Method in class com.xilinx.rapidwright.device.SitePIP
Gets the BEL input pin for this SitePIP.
getInputPinName() - Method in class com.xilinx.rapidwright.device.SitePIP
Gets the input pin name of the SitePIP.
getInputPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Builder
 
getInputPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Reader
 
getInputPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Builder
 
getInputPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Reader
 
getInputSitePinDelay() - Method in class com.xilinx.rapidwright.timing.TimingModel
 
getInputStream(Path, boolean) - Static method in interface com.xilinx.rapidwright.util.function.InputStreamSupplier
Gets the InputStream for the provided file path.
getInputStreamFromZipFile(String, String) - Static method in class com.xilinx.rapidwright.util.FileTools
 
getInst(String) - Method in class com.xilinx.rapidwright.design.blocks.BlockGuide
 
getInst() - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
 
getInst() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Builder
 
getInst() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Reader
 
getInstance() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort.InstPort
 
getInstanceName() - Method in class com.xilinx.rapidwright.design.PartitionPin
Gets the instance name upon which this partition pin resides
getInstances() - Method in class com.xilinx.rapidwright.examples.PicoBlazeArray.PicoBlazeArrayCreator
 
getInstanceX() - Method in interface com.xilinx.rapidwright.design.PBlockCorner
 
getInstanceX() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets and returns the integer X value of the instance location (ex: SLICE_X5Y10, it will return 5).
getInstanceX() - Method in class com.xilinx.rapidwright.device.ClockRegion
Gets the X coordinate (column) of this clock region
getInstanceX() - Method in class com.xilinx.rapidwright.device.Site
Gets and returns the integer X value of the instance location (ex: SLICE_X5Y10, it will return 5).
getInstanceY() - Method in interface com.xilinx.rapidwright.design.PBlockCorner
 
getInstanceY() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets and returns the integer Y value of the instance location (ex: SLICE_X5Y10, it will return 10).
getInstanceY() - Method in class com.xilinx.rapidwright.device.ClockRegion
Gets the Y coordinate (row) of this clock region
getInstanceY() - Method in class com.xilinx.rapidwright.device.Site
Gets and returns the integer Y value of the instance location (ex: SLICE_X5Y10, it will return 10).
getInstList() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
getInstList() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Reader
 
getInstName() - Method in class com.xilinx.rapidwright.edif.EDIFChangeNet
 
getInstName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
getInstName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Reader
 
getInstName() - Method in class com.xilinx.rapidwright.interchange.MacroParamRule
 
getInstParam() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
getInstParam() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Reader
 
getInstParam() - Method in class com.xilinx.rapidwright.interchange.MacroParamRule
 
getInsts() - Method in class com.xilinx.rapidwright.design.blocks.BlockGuide
 
getInsts() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Builder
 
getInsts() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Reader
 
getIntentCode() - Method in class com.xilinx.rapidwright.device.Node
Gets the IntentCode of this node using the base wire as the lookup.
getIntentCode() - Method in class com.xilinx.rapidwright.device.Wire
Gets the intent code associated with this wire.
getIntentCode() - Method in interface com.xilinx.rapidwright.device.WireInterface
Gets the intent code corresponding to this wire/base wire.
getIntentCode() - Method in class com.xilinx.rapidwright.router.RouteNode
 
getIntentCodesBySeries(Series) - Static method in enum com.xilinx.rapidwright.device.IntentCode
Returns an array of the intent codes specific the provided series.
getInternalCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Builder
 
getInternalCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Reader
 
getInternalDelay() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Builder
 
getInternalDelay() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Reader
 
getInternalNet(EDIFPortInst) - Method in class com.xilinx.rapidwright.edif.EDIFCell
Takes an external (or internal) port ref and returns the corresponding EDIFNet connected inside the cell.
getInternalNet(String) - Method in class com.xilinx.rapidwright.edif.EDIFCell
Takes an external (or internal) port name and returns the corresponding EDIFNet connected inside the cell.
getInternalNet() - Method in class com.xilinx.rapidwright.edif.EDIFHierPortInst
FOr Ports that represent connections to inner cells, get the connected Net that is connected within the cell
getInternalNet(int) - Method in class com.xilinx.rapidwright.edif.EDIFPort
Gets the internal net connected to this port at specified index of the port, connected to the inside of the cell.
getInternalNet() - Method in class com.xilinx.rapidwright.edif.EDIFPort
If the port is only one bit wide or the user only needs the 0th indexed net, this is a convenience method to getting the internal net (inside the cell) connected to this port.
getInternalNet() - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
getInternalNetMap() - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
getInternalNets() - Method in class com.xilinx.rapidwright.edif.EDIFPort
Gets the list of internal nets connected to this port, indexed in the same way as the port.
getintraSiteAndLogicDelayModel() - Method in class com.xilinx.rapidwright.timing.TimingGraph
 
getIntraSiteDelay(SiteTypeEnum, String, String) - Method in interface com.xilinx.rapidwright.timing.DelayModel
Get the delay in ps between two bel pins within the given site name.
getIntraSiteDelay(SiteTypeEnum, String, String) - Method in class com.xilinx.rapidwright.timing.SmallDelayModel
Implement the method with the same signature defined in DelayModel interface.
getIntraSiteDelay() - Method in class com.xilinx.rapidwright.timing.TimingEdge
 
getIntraSiteDelay() - Method in class com.xilinx.rapidwright.timing.TimingModel
 
getIntTile() - Method in class com.xilinx.rapidwright.device.Site
Gets the approximate INT tile to which this site connects.
getIntTileToBufgInDelay() - Method in class com.xilinx.rapidwright.timing.ClkRouteTiming
 
getIntTileToBufgInRoute() - Method in class com.xilinx.rapidwright.timing.ClkRouteTiming
 
getIntTileTypes() - Static method in class com.xilinx.rapidwright.util.Utils
 
getIntValue() - Method in class com.xilinx.rapidwright.edif.EDIFPropertyValue
In situations where the type is an integer, this method will parse the verilog-syntax integer format to get an integer value and return it.
getIntValue() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Builder
 
getIntValue() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Reader
 
getInvalid() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Builder
 
getInvalid() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Reader
 
getInvertCol(String) - Static method in class com.xilinx.rapidwright.design.DesignTools
 
getInvertiblePinMap(Series, Unisim) - Static method in class com.xilinx.rapidwright.design.DesignTools
Creates a map that contains pin names for keys that map to the Unisim Verilog parameter that can invert a pins value.
getInverting() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Builder
 
getInverting() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Reader
 
getInverting() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion.Builder
 
getInverting() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion.Reader
 
getInvertingPin() - Method in class com.xilinx.rapidwright.device.BEL
For an inverting BEL (see BEL.canInvert()), returns the input BEL pin used to invert an incoming signal.
getInvertingPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELInverter.Builder
 
getInvertingPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELInverter.Reader
 
getInverts() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Builder
 
getInverts() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Reader
 
getInvOnly() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Builder
 
getInvOnly() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Reader
 
getIOBAliasName() - Method in class com.xilinx.rapidwright.device.PackagePin
Gets the IOB alias site name (IOB_X#Y#) for this package pin
getIOBank(String) - Method in class com.xilinx.rapidwright.device.Package
Gets the named IOBank in this package.
getIOBank() - Method in class com.xilinx.rapidwright.device.PackagePin
Gets the IOBank (if exists) to which this package pin belongs.
getIOBanks() - Method in class com.xilinx.rapidwright.device.Package
Gets the set of IOBanks that are in this package.
getIOBanksMap() - Method in class com.xilinx.rapidwright.device.Package
Gets the map IOBanks keyed by their name for this package.
getIOStandard() - Method in class com.xilinx.rapidwright.edif.EDIFPropertyObject
Helper method to get the IOStandard property, with consideration for an upper-case key name.
getIoStandard() - Method in class com.xilinx.rapidwright.ipi.PackagePinConstraint
 
getIOStandards(EDIFCellInst) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Helper method to get the set of IOStandard properties of an EDIFCellInst; should one not exist, fallback to the IOStandard property on the EDIFNet object(s) connected to the top-level output port(s) that it drives.
getIsBelFixed() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
getIsBelFixed() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Reader
 
getIsFixed() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNode.Builder
 
getIsFixed() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNode.Reader
 
getIsFixed() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Builder
 
getIsFixed() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Reader
 
getIsFixed() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Builder
 
getIsFixed() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Reader
 
getIsFixed() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Builder
 
getIsFixed() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Reader
 
getIsInverting() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Builder
 
getIsInverting() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Reader
 
getIsSiteFixed() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
getIsSiteFixed() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Reader
 
getJarsClasspath() - Static method in class com.xilinx.rapidwright.util.Installer
Identifies all necessary jar files in /jars directory and creates a CLASSPATH string.
getJavaVersion() - Static method in class com.xilinx.rapidwright.util.FileTools
Gets the current runtime version number.
getJobNumber() - Method in class com.xilinx.rapidwright.util.Job
 
getJobState() - Method in class com.xilinx.rapidwright.util.Job
 
getJobState() - Method in class com.xilinx.rapidwright.util.LocalJob
 
getJobState() - Method in class com.xilinx.rapidwright.util.LSFJob
 
getKey() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Builder
 
getKey() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Reader
 
getKey() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Property.Builder
 
getKey() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Property.Reader
 
getKryoGzipInputStream(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Creates a Kryo input stream that decompresses a gzip compressed input file.
getKryoGzipInputStream(InputStream) - Static method in class com.xilinx.rapidwright.util.FileTools
Creates a Kryo input stream that decompresses a gzip compressed input stream.
getKryoGzipOutputStream(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Creates a Kryo output stream that instantiates a gzip compression stream to an output file.
getKryoGzipOutputStream(OutputStream) - Static method in class com.xilinx.rapidwright.util.FileTools
Creates a Kryo output stream that instantiates a gzip compression stream to an output stream.
getKryoInputStreamWithoutInflater(InputStream) - Static method in class com.xilinx.rapidwright.util.FileTools
Wraps the provided input stream with a kryo stream.
getKryoInstance() - Static method in class com.xilinx.rapidwright.util.FileTools
 
getKryoOutputStreamWithoutDeflater(OutputStream) - Static method in class com.xilinx.rapidwright.util.FileTools
Wraps the provided output stream with a kryo stream.
getKryoZstdInputStream(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Creates a Kryo input stream from decompressing Zstandard compressed input file.
getKryoZstdInputStream(InputStream) - Static method in class com.xilinx.rapidwright.util.FileTools
Creates a Kryo input stream from decompressing a Zstandard compressed input stream.
getKryoZstdOutputStream(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Creates a Kryo output stream that instantiates a Zstandard compression stream to an output file.
getKryoZstdOutputStream(OutputStream) - Static method in class com.xilinx.rapidwright.util.FileTools
Creates a Kryo output stream that instantiates a Zstandard compression stream from an output stream.
getLargerDimension() - Method in class com.xilinx.rapidwright.design.TileRectangle
 
getLastInput() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
getLastInput() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Reader
 
getLastLogLines() - Method in class com.xilinx.rapidwright.util.Job
 
getLastNLinesFromTextFile(String, int) - Static method in class com.xilinx.rapidwright.util.FileTools
Gets the last n number of lines from a text file and returns them.
getLastNode() - Method in class com.xilinx.rapidwright.timing.TimingGroup
Gets the last node in the timing group
getLastPIP() - Method in class com.xilinx.rapidwright.timing.TimingGroup
 
getLCBPinMappings(Net, String, String) - Static method in class com.xilinx.rapidwright.examples.SLRCrosserGenerator
Separates clock sinks by direction in a half clock region so they can be driven by independent leaf clock buffers (LCBs).
getLCBPinMappings(List<SitePinInst>, Function<Node, NodeStatus>) - Static method in class com.xilinx.rapidwright.rwroute.GlobalSignalRouting
Maps each sink SitePinInsts of a clock net to a leaf clock buffer node.
getLeafHierPortInsts() - Method in class com.xilinx.rapidwright.edif.EDIFHierNet
Gets all connected leaf port instances (inputs and outputs, but not inouts) on this hierarchical net and its aliases.
getLeafHierPortInsts(boolean) - Method in class com.xilinx.rapidwright.edif.EDIFHierNet
Gets all connected leaf port instances (inputs, and optionally outputs, but not inouts) on this hierarchical net and its aliases.
getLeafHierPortInsts(boolean, boolean) - Method in class com.xilinx.rapidwright.edif.EDIFHierNet
Gets all connected leaf port instances (inputs, and/or outputs, but not inouts) on this hierarchical net and its aliases.
getLeafHierPortInsts(boolean, Set<EDIFHierNet>) - Method in class com.xilinx.rapidwright.edif.EDIFHierNet
Gets all connected leaf port instances (inputs, and optionally outputs, but not inouts) on this hierarchical net and its aliases.
getLeafHierPortInsts(boolean, boolean, Set<EDIFHierNet>) - Method in class com.xilinx.rapidwright.edif.EDIFHierNet
Gets all connected leaf port instances (inputs, and/or outputs, but not inouts) on this hierarchical net and its aliases.
getLeft() - Method in class com.xilinx.rapidwright.edif.EDIFPort
 
getLegalAlternativeOutputPin(Net) - Static method in class com.xilinx.rapidwright.design.DesignTools
Finds a legal/available alternative output site pin for the given net.
getLegalEDIFName(EDIFName) - Method in class com.xilinx.rapidwright.edif.EDIFReadLegalNameCache
 
getLegalEDIFName(String) - Method in class com.xilinx.rapidwright.edif.EDIFWriteLegalNameCache
 
getLength() - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractPath
 
getLength() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsPath
 
getLength() - Method in class com.xilinx.rapidwright.placer.blockplacer.Path
 
getLength() - Method in class com.xilinx.rapidwright.placer.blockplacer.PortWire
 
getLength(Node) - Static method in class com.xilinx.rapidwright.rwroute.RouteNode
 
getLength() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Gets the wirelength.
getLevel() - Method in class com.xilinx.rapidwright.router.RouteNode
 
getLevel() - Method in class com.xilinx.rapidwright.util.RuntimeTracker
Gets the level of a RuntimeTracker instance.
getLib() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Builder
 
getLib() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Reader
 
getLibCellName() - Method in class com.xilinx.rapidwright.design.PartitionPin
Gets the library cell name of the pin
getLibraries() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
getLibrariesInExportOrder() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Get Libraries in export order so that any cell instance appearing in a library will only refer to cells in its own library or previous libraries in the list.
getLibrariesMap() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
getLibrary() - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
getLibrary(String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
getLinesFromInputStream(InputStream) - Static method in class com.xilinx.rapidwright.util.FileTools
 
getLinesFromTextFile(String) - Static method in class com.xilinx.rapidwright.util.FileTools
This is a simple method that will read in a text file and put each line in a string and put all the lines in an ArrayList.
getLocalID() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getLocation() - Method in class com.xilinx.rapidwright.design.noc.NOCClient
Gets the name of the location (site) of this client
getLocations() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Builder
 
getLocations() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Reader
 
getLockedSiteTypes() - Static method in class com.xilinx.rapidwright.util.Utils
 
getLogFilename() - Method in class com.xilinx.rapidwright.util.Job
 
getLogicalBELPinDriver(SitePinInst) - Static method in class com.xilinx.rapidwright.design.DesignTools
Looks backwards from a SitePinInst output pin and finds the corresponding BELPin of the driver.
getLogicalHierNet() - Method in class com.xilinx.rapidwright.design.Net
Gets the logical hierarchical net that corresponds to this physical net.
getLogicalName() - Method in class com.xilinx.rapidwright.design.AltPinMapping
 
getLogicalName() - Method in class com.xilinx.rapidwright.design.PinSwap
 
getLogicalNet() - Method in class com.xilinx.rapidwright.design.Net
Gets the logical EDIF netlist equivalent net.
getLogicalPinMapping(String) - Method in class com.xilinx.rapidwright.design.Cell
Gets the corresponding logical pin mapping for the provided physical pin.
getLogicalPinName() - Method in class com.xilinx.rapidwright.design.CellPin
 
getLogicDelay(short, String, String, int) - Method in interface com.xilinx.rapidwright.timing.DelayModel
Get the delay between input and output pins of a bel.
getLogicDelay(short, String, String) - Method in interface com.xilinx.rapidwright.timing.DelayModel
Get the delay between input and output pins of a bel.
getLogicDelay(short, String, String) - Method in class com.xilinx.rapidwright.timing.SmallDelayModel
Implement the method with the same signature defined in DelayModel interface.
getLogicDelay(short, String, String, int) - Method in class com.xilinx.rapidwright.timing.SmallDelayModel
Implement the method with the same signature defined in DelayModel interface.
getLogicDelay() - Method in class com.xilinx.rapidwright.timing.TimingEdge
Gets the logic-related component of the delay in ps for this edge.
getLongValue() - Method in class com.xilinx.rapidwright.edif.EDIFPropertyValue
In situations where the type is an integer, this method will parse the verilog-syntax integer format to get a long value and return it.
getLowBit() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Builder
 
getLowBit() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Reader
 
getLowerBoundTotalPathCost() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Gets the lower bound total path cost.
getLowerLeft() - Method in class com.xilinx.rapidwright.device.ClockRegion
Gets the bottom left most tile based on the TOP_LEFT_TILE and BOTTOM_RIGHT_TILE designations.
getLowerLeftPlacement() - Method in class com.xilinx.rapidwright.design.ModuleInst
Get's the current lower left site as used for a placement directive for an implementation guide.
getLowerLeftPlacement(SiteTypeEnum) - Method in class com.xilinx.rapidwright.design.ModuleInst
Get's the current lower left site as used for a placement directive for an implementation guide.
getLowerLeftSite() - Method in class com.xilinx.rapidwright.design.blocks.PBlockRange
 
getLowerLeftTile(SiteTypeEnum) - Method in class com.xilinx.rapidwright.design.ModuleInst
Chooses a lower left reference tile in a module instance for the purpose of placement.
getLowerRight() - Method in class com.xilinx.rapidwright.device.ClockRegion
Gets the bottom right most tile that belongs to the clock region
getLowerRight() - Method in class com.xilinx.rapidwright.device.SLR
Gets the lower right most tile that belongs to this SLR.
getLsfProject() - Method in class com.xilinx.rapidwright.util.LSFJob
 
getLsfQueue() - Method in class com.xilinx.rapidwright.util.LSFJob
 
getLsfResource() - Method in class com.xilinx.rapidwright.util.LSFJob
 
getLUTBELNames(char) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
Gets the corresponding BEL site names for a given LUT letter.
getLutCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.Builder
 
getLutCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.Reader
 
getLutDefinitions() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
getLutDefinitions() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
getLutElements() - Method in class com.xilinx.rapidwright.device.Part
 
getLutElements() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.Builder
 
getLutElements() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.Reader
 
getLUTEquation(Cell) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
Reads the init string in this LUT and creates an equivalent (non-optimal) equation.
getLUTEquation(EDIFCellInst) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
Reads the init string in this LUT and creates an equivalent (non-optimal) equation.
getLUTEquation(String) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
Creates a (dumb, non-reduced) boolean logic equation compatible with Vivado's LUT Equation Editor from an INIT string.
getLUTInitFromEquation(String, int) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
Evaluates the provided equation in order to create the INIT string of a LUT of the given size.
getLUTOutputPin(BEL) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
Gets the output pin from the BEL of a LUT
getLutPinSwapping() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the flag indicating if LUT pin swapping is enabled.
getLuts() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements.Builder
 
getLuts() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements.Reader
 
getLUTSize(Cell) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
If the provided cell is a LUT, it will return the LUT input count.
getLUTSize(EDIFCellInst) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
If the provided cell instance is a LUT, it will return the LUT input count.
getLUTSize(String) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
Performs essentially a log_2 operation on the init string length to get the LUT size.
getMacroLeafCellNames(EDIFCell) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
getMacroList() - Method in class com.xilinx.rapidwright.placer.handplacer.FloorPlanScene
 
getMacroName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Builder
 
getMacroName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Reader
 
getMacroPrimitives(Series) - Static method in class com.xilinx.rapidwright.design.Design
Gets the logical (EDIF) representation of the macro primitives supported by this architecture.
getManhattanDistance(ClockRegion) - Method in class com.xilinx.rapidwright.device.ClockRegion
Calculated the Manhattan distance between this clock region and another clock region in terms of clock region indices.
getManhattanDistance(Tile) - Method in class com.xilinx.rapidwright.device.Tile
Calculates the Manhattan distance between this tile and the given tile.
getManhattanDistance(RouteNode) - Method in class com.xilinx.rapidwright.router.RouteNode
 
getManuallyRoutedSites() - Method in class com.xilinx.rapidwright.design.Design
 
getMap() - Method in class com.xilinx.rapidwright.interchange.StringEnumerator
 
getMasterClients() - Method in class com.xilinx.rapidwright.design.noc.NOCDesign
Gets the map of master clients in the NOC design.
getMasterSLR() - Method in class com.xilinx.rapidwright.device.Device
Gets the master SLR (first configured SLR) in the device.
getMax() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Builder
 
getMax() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Builder
 
getMax() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Reader
 
getMax() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Reader
 
getMaxClockUncertainty() - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
getMaxColumn() - Method in class com.xilinx.rapidwright.design.RelocatableTileRectangle
 
getMaxColumn() - Method in class com.xilinx.rapidwright.design.SimpleTileRectangle
 
getMaxColumn() - Method in class com.xilinx.rapidwright.design.TileRectangle
 
getMaxColumnTile() - Method in class com.xilinx.rapidwright.design.RelocatableTileRectangle
 
getMaxConflicts() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getMaxDelay() - Method in class com.xilinx.rapidwright.device.PackagePin
Gets the maximum delay on this package pin in picoseconds.
getMaxDelay() - Method in class com.xilinx.rapidwright.placer.blockplacer.Path
 
getMaxDelay() - Method in class com.xilinx.rapidwright.timing.TimingGraph
Get the maximum delay, i.e., the maximum arrival time, and corresponding timing path sink of the design
getMaxDelayPath() - Method in class com.xilinx.rapidwright.timing.TimingGraph
Finds and returns the path from the TimingGraph having maximum delay.
getMaxFrequency(Path, String, Path, boolean, double) - Static method in class com.xilinx.rapidwright.util.performance_evaluation.PerformanceEvaluation
 
getMaxFrequency(Design, String, Path, boolean, double) - Static method in class com.xilinx.rapidwright.util.performance_evaluation.PerformanceEvaluation
 
getMaxFrequency() - Method in class com.xilinx.rapidwright.util.performance_evaluation.TimingResults
 
getMaxIterations() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the allowed maximum number of routing iterations.
getMaxNumWords() - Method in enum com.xilinx.rapidwright.bitstream.PacketType
Gets the maximum number of words that can exist in the payload of this packet type.
getMaxPasses() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getMaxPresentCongestionFactor() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the max present congestion factor that should prevent accuracy loss.
getMaxRangeLimit() - Method in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
 
getMaxRow() - Method in class com.xilinx.rapidwright.design.RelocatableTileRectangle
 
getMaxRow() - Method in class com.xilinx.rapidwright.design.SimpleTileRectangle
 
getMaxRow() - Method in class com.xilinx.rapidwright.design.TileRectangle
 
getMaxRowTile() - Method in class com.xilinx.rapidwright.design.RelocatableTileRectangle
 
getMD5Checksum(String) - Static method in class com.xilinx.rapidwright.ipi.BlockCreator
 
getMD5Hash() - Method in class com.xilinx.rapidwright.design.blocks.BlockGuide
The MD5 hash intends to include anything that can affect any of the implementations from the Impl Guide.
getMemUsage(String) - Method in class com.xilinx.rapidwright.tests.CodePerfTracker
 
getMetaDataMap() - Method in class com.xilinx.rapidwright.design.Module
 
getMin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Builder
 
getMin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Builder
 
getMin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Reader
 
getMin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Reader
 
getMinClkPeriod() - Method in class com.xilinx.rapidwright.design.Module
 
getMinClockUncertainty() - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
getMinColumn() - Method in class com.xilinx.rapidwright.design.RelocatableTileRectangle
 
getMinColumn() - Method in class com.xilinx.rapidwright.design.SimpleTileRectangle
 
getMinColumn() - Method in class com.xilinx.rapidwright.design.TileRectangle
 
getMinColumnTile() - Method in class com.xilinx.rapidwright.design.RelocatableTileRectangle
 
getMinDelay() - Method in class com.xilinx.rapidwright.device.PackagePin
Gets the minimum delay on this package pin in picoseconds.
getMinor() - Method in class com.xilinx.rapidwright.bitstream.FAR
Gets the minor frame address to which the FAR address is currently set
getMinorAddress(int, Series) - Static method in class com.xilinx.rapidwright.bitstream.FAR
Extracts the minor address frame index from a 32-bit FAR address.
getMinorAddrLSB() - Method in enum com.xilinx.rapidwright.device.Series
 
getMinorAddrMask() - Method in enum com.xilinx.rapidwright.device.Series
 
getMinorAddrWidth() - Method in enum com.xilinx.rapidwright.device.Series
 
getMinPeriod() - Method in class com.xilinx.rapidwright.util.performance_evaluation.TimingResults
 
getMinRerouteCriticality() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the criticality threshold for re-routing critical connections.
getMinRow() - Method in class com.xilinx.rapidwright.design.RelocatableTileRectangle
 
getMinRow() - Method in class com.xilinx.rapidwright.design.SimpleTileRectangle
 
getMinRow() - Method in class com.xilinx.rapidwright.design.TileRectangle
 
getMinRowTile() - Method in class com.xilinx.rapidwright.design.RelocatableTileRectangle
 
getMmcm() - Method in class com.xilinx.rapidwright.device.Part
 
getModel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Builder
 
getModel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Reader
 
getModifiedCells() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
getModifiedNets() - Method in class com.xilinx.rapidwright.design.Design
Gets the set of modified Nets for this design.
getModifiedSiteInsts() - Method in class com.xilinx.rapidwright.design.Design
Gets the set of modified SiteInsts for this design.
getModule() - Method in class com.xilinx.rapidwright.design.AbstractModuleInst
 
getModule(String) - Method in class com.xilinx.rapidwright.design.Design
Get a module by name.
getModule(String, int) - Method in class com.xilinx.rapidwright.design.Design
Get's a specific implementation of a module
getModule() - Method in class com.xilinx.rapidwright.design.ModuleImplsInst
 
getModule() - Method in class com.xilinx.rapidwright.design.ModuleInst
 
getModuleInst(String) - Method in class com.xilinx.rapidwright.design.Design
Gets and returns the moduleInst called name.
getModuleInst() - Method in class com.xilinx.rapidwright.design.Net
Gets and returns the nets current module instance it belongs to.
getModuleInst() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the module instance this Instance is a member of.
getModuleInst() - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
 
getModuleInstMap() - Method in class com.xilinx.rapidwright.design.Design
Gets and returns the HashMap of all of the module instance members separated by module instance name.
getModuleInstName() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the name of the instance of the module this Instance is a member of.
getModuleInstName() - Method in class com.xilinx.rapidwright.design.SitePinInst
Gets and returns the module instance name corresponding to the instance of this pin.
getModuleInstName(EDIFNetlist, EDIFNetlist, HashMap<String, String>) - Method in class com.xilinx.rapidwright.ipi.BlockStitcher
 
getModuleInsts() - Method in class com.xilinx.rapidwright.design.Design
Gets and returns the Collection of all of the module instances in this design.
getModuleNames() - Method in class com.xilinx.rapidwright.design.Design
Get all module names
getModules() - Method in class com.xilinx.rapidwright.design.Design
Gets and returns all of the modules of the design.
getModuleSiteTypes() - Static method in class com.xilinx.rapidwright.util.Utils
 
getModuleTemplate() - Method in class com.xilinx.rapidwright.design.Net
Gets the module template this net is a member of
getModuleTemplate() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the module template this instance is a member of
getModuleTemplateInst() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets and returns the instance found in the module which this instance implements.
getModuleTemplateNet() - Method in class com.xilinx.rapidwright.design.Net
Gets and returns the net found in the module which this net implements.
getMulti() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Builder
 
getMulti() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Reader
 
getMultiCell() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.MultiCellPinMapping.Builder
 
getMultiCell() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.MultiCellPinMapping.Reader
 
getMultiType() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.MultiCellPinMapping.Builder
 
getMultiType() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.MultiCellPinMapping.Reader
 
getName() - Method in class com.xilinx.rapidwright.design.AbstractModuleInst
 
getName() - Method in class com.xilinx.rapidwright.design.BELAttr
 
getName() - Method in class com.xilinx.rapidwright.design.blocks.BlockInst
 
getName() - Method in class com.xilinx.rapidwright.design.blocks.PBlock
 
getName() - Method in class com.xilinx.rapidwright.design.Cell
Gets the full hierarchical name of the cell
getName() - Method in class com.xilinx.rapidwright.design.Design
Gets and returns the current name of the design.
getName() - Method in class com.xilinx.rapidwright.design.Module
Gets and returns the current name of this module
getName() - Method in class com.xilinx.rapidwright.design.ModuleImpls
 
getName() - Method in class com.xilinx.rapidwright.design.Net
Gets and return the current net name (the physical hierarchical name of the net).
getName() - Method in class com.xilinx.rapidwright.design.noc.NOCClient
Gets the name of this client
getName() - Method in interface com.xilinx.rapidwright.design.PBlockCorner
 
getName() - Method in class com.xilinx.rapidwright.design.Port
Gets and returns the name of the port.
getName() - Method in class com.xilinx.rapidwright.design.SiteConfig
 
getName() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the user name of the instance
getName() - Method in class com.xilinx.rapidwright.design.SitePinInst
Gets and returns the pin name of the pin.
getName() - Method in class com.xilinx.rapidwright.device.BEL
Gets the name of the BEL.
getName() - Method in class com.xilinx.rapidwright.device.BELPin
Gets the name of the BEL pin
getName() - Method in class com.xilinx.rapidwright.device.ClockRegion
Gets the name of the clock region (for example: X0Y0)
getName() - Method in class com.xilinx.rapidwright.device.Device
Gets and return the deviceName of this device (ex: xcvu9p).
getName() - Method in class com.xilinx.rapidwright.device.Grade
Gets the name portion of a specific grade as it would appear on a full part name suffix.
getName() - Method in class com.xilinx.rapidwright.device.IOBank
Gets the name of the IOBank
getName() - Method in class com.xilinx.rapidwright.device.Package
Gets the name of the package
getName() - Method in class com.xilinx.rapidwright.device.PackagePin
Get's the package pin name (e.g.
getName() - Method in class com.xilinx.rapidwright.device.Part
 
getName() - Method in class com.xilinx.rapidwright.device.Site
Gets and returns the name of this primitive site (ex: SLICE_X4Y6).
getName(Site) - Method in class com.xilinx.rapidwright.device.SitePIP
Gets the SitePIP name.
getName() - Method in class com.xilinx.rapidwright.device.SLR
Gets the name of the SLR (ex: SLR0).
getName() - Method in class com.xilinx.rapidwright.device.Tile
Gets and returns the name of the tile (such as INT_X0Y5).
getName() - Method in class com.xilinx.rapidwright.edif.EDIFChange
 
getName() - Method in class com.xilinx.rapidwright.edif.EDIFName
 
getName() - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELPin.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELPin.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnection.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnection.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Grade.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Grade.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Site.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Site.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteWire.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteWire.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireType.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireType.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Reader
 
getName() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Builder
 
getName() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Reader
 
getName() - Method in class com.xilinx.rapidwright.ipi.PackagePinConstraint
 
getName() - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractPath
 
getName() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort
 
getName() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort.InstPort
 
getName() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort.SitePinInstPort
 
getName() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsPath
 
getName() - Method in class com.xilinx.rapidwright.placer.blockplacer.Path
 
getName() - Method in class com.xilinx.rapidwright.router.RouteNode
 
getName() - Method in class com.xilinx.rapidwright.timing.TimingVertex
Gets the name of the vertex.
getName() - Method in enum com.xilinx.rapidwright.util.JobState
 
getName() - Method in class com.xilinx.rapidwright.util.RouteStatus
 
getName() - Method in class com.xilinx.rapidwright.util.RuntimeTracker
Gets the runtime tracker name.
getNameSpacePrefix() - Method in class com.xilinx.rapidwright.device.Site
Gets a new string representation of the site type's namespace.
getNeighborClockRegion(int, int) - Method in class com.xilinx.rapidwright.device.ClockRegion
Gets a neighbor clock region using an offset approach.
getNeighborSite(int, int) - Method in class com.xilinx.rapidwright.device.Site
This will attempt to locate a neighbor site of similar type (pblock-compatible) with the provided X,Y offset.
getNet() - Method in class com.xilinx.rapidwright.design.BELAttr
 
getNet(String) - Method in class com.xilinx.rapidwright.design.Design
Get a net by name.
getNet(String) - Method in class com.xilinx.rapidwright.design.Module
Gets and returns the net in the module called name.
getNet() - Method in class com.xilinx.rapidwright.design.Port
 
getNet() - Method in class com.xilinx.rapidwright.design.SitePinInst
 
getNet(EDIFNet) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
getNet(String) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
getNet(String) - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
 
getNet() - Method in class com.xilinx.rapidwright.edif.EDIFHierNet
 
getNet() - Method in class com.xilinx.rapidwright.edif.EDIFHierPortInst
Gets the net on the port inst
getNet() - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
getNet(EDIFNetlist, String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Gets the EDIFNet corresponding to the hierarchical name of a net.
getNet() - Method in class com.xilinx.rapidwright.rwroute.NetWrapper
 
getNet() - Method in class com.xilinx.rapidwright.timing.TimingEdge
Gets the physical "Net" object associated with this edge.
getNetAliases(EDIFHierNet) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Get's all equivalent nets in the netlist from the provided net name.
getNetDelay() - Method in class com.xilinx.rapidwright.timing.TimingEdge
Gets the net-related component of the delay in ps for this edge.
getNetFromHierName(String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Based on a hierarchical string name, this method gets and returns the net inside the instance.
getNetFromSiteWire(String) - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the net assigned to the given site wire.
getNetGroupsFile() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getNetLineList() - Method in class com.xilinx.rapidwright.placer.handplacer.FloorPlanScene
 
getNetlist() - Method in class com.xilinx.rapidwright.design.Design
Get the logical netlist object corresponding to this design.
getNetlist() - Method in class com.xilinx.rapidwright.design.Module
Gets the module's corresponding logical EDIF netlist
getNetlist() - Method in class com.xilinx.rapidwright.design.ModuleImpls
 
getNetlist() - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
getNetlist() - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
 
getNetName() - Method in class com.xilinx.rapidwright.edif.EDIFChangeNet
 
getNets() - Method in class com.xilinx.rapidwright.design.Design
Gets and returns all of the nets of the design.
getNets() - Method in class com.xilinx.rapidwright.design.Module
Gets and returns all the nets that are part of the module.
getNets() - Method in class com.xilinx.rapidwright.design.ModuleInst
Gets the list of physical nets in the module instance.
getNets() - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
getNets() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Builder
 
getNets() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Reader
 
getNetsMarkedForDebug(Design) - Static method in class com.xilinx.rapidwright.debug.ILAInserter
This method will examine a design for any nets marked for debug and return the list of names of those nets.
getNetsToRoute() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getNetToSiteWiresMap() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets a map of nets in this site instance and the list of site wires they each map to.
getNetType(int) - Static method in enum com.xilinx.rapidwright.design.NetType
 
getNetTypeFromNetName(String) - Static method in enum com.xilinx.rapidwright.design.NetType
 
getNetWrapper() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
getNewMap() - Static method in class com.xilinx.rapidwright.edif.EDIFName
 
getNewNetName(String, int, T, String, int) - Method in class com.xilinx.rapidwright.design.AbstractModuleInst
 
getNewNetPinName() - Method in class com.xilinx.rapidwright.design.PinSwap
 
getNewPhysicalName() - Method in class com.xilinx.rapidwright.design.PinSwap
 
getNextTimingGroups() - Method in class com.xilinx.rapidwright.timing.TimingGroup
Method used by the Router example to get the downhill TimingGroups from a given TimingGroup.
getNoBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Builder
 
getNoBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Reader
 
getNOCClients() - Method in class com.xilinx.rapidwright.design.ModuleInst
 
getNOCDesign() - Method in class com.xilinx.rapidwright.design.Design
Gets the current NOC Design for this design
getNOCDesign() - Method in class com.xilinx.rapidwright.design.Module
Gets the current NOC Design corresponding to this module
getNoClock() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Builder
 
getNoClock() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Reader
 
getNode() - Method in class com.xilinx.rapidwright.design.PartitionPin
Gets the node assigned to this partition pin
getNode(String) - Method in class com.xilinx.rapidwright.device.Device
Creates and returns the named node
getNode(Tile, int) - Static method in class com.xilinx.rapidwright.device.Node
Constructor that creates a node from a specific tile and wire.
getNode(Tile, String) - Static method in class com.xilinx.rapidwright.device.Node
Getter that creates a node from a specific tile and wire.
getNode(Wire) - Static method in class com.xilinx.rapidwright.device.Node
Getter that creates a node from a specific wire.
getNode(String, Device) - Static method in class com.xilinx.rapidwright.device.Node
Getter that creates a node from the name of a wire or node as displayed in Vivado (ex: INT_X0Y0/LOGIC_OUTS_R20)
getNode(RouteNode) - Static method in class com.xilinx.rapidwright.device.Node
Getter that builds a node from a RouteNode
getNode() - Method in class com.xilinx.rapidwright.device.Wire
Creates the corresponding node to which this wire belongs.
getNode() - Method in class com.xilinx.rapidwright.rwroute.LightweightRouteNode
 
getNode() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Returns a deep copy of the Node associated with this RouteNode Object.
getNode(Node) - Method in class com.xilinx.rapidwright.rwroute.RouteNodeGraph
 
getNode(int) - Method in class com.xilinx.rapidwright.timing.TimingGroup
Gets the node in the timing group at the specified index
getNodeFromPin() - Method in class com.xilinx.rapidwright.design.SitePinInst
This will creating a routing node from the pin given.
getNodeFromPin(RouteNode, int) - Method in class com.xilinx.rapidwright.design.SitePinInst
This will creating a routing node from the pin given.
getNodePIPMap(List<PIP>) - Static method in class com.xilinx.rapidwright.design.DesignTools
Creates a map from Node to a list of PIPs for a given list of PIPs (likely from the routing of a net).
getNodes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
getNodes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
getNodes() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
getNodes() - Method in class com.xilinx.rapidwright.timing.TimingGroup
Gets the list of nodes in the timing group.
getNodesOfNet(Net) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Gets a (non-unique) collection of Node instances used by a Net instance.
getNodeSources() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
getNodeSources() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Reader
 
getNodeTiming() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Node.Builder
 
getNodeTiming() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Node.Reader
 
getNodeTimings() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
getNodeTimings() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
getNodeType(int) - Method in class com.xilinx.rapidwright.timing.TimingGroup
 
getNodeTypes() - Method in class com.xilinx.rapidwright.timing.TimingGroup
 
getNoFast() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Builder
 
getNoFast() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Reader
 
getNoMax() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Builder
 
getNoMax() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Reader
 
getNoMin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Builder
 
getNoMin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Reader
 
getNoModel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Builder
 
getNoModel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Reader
 
getNonHierInstantiationCount() - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
getNonInverting() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Builder
 
getNonInverting() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Reader
 
getNonInvertingPin() - Method in class com.xilinx.rapidwright.device.BEL
For an inverting BEL (see BEL.canInvert()), returns the input BEL pin used to not invert an incoming signal.
getNonInvertingPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELInverter.Builder
 
getNonInvertingPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELInverter.Reader
 
getNoSite() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Builder
 
getNoSite() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Reader
 
getNoSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Builder
 
getNoSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Reader
 
getNoSlow() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Builder
 
getNoSlow() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Reader
 
getNotInverting() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion.Builder
 
getNotInverting() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion.Reader
 
getNoTyp() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Builder
 
getNoTyp() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Reader
 
getNullNet() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
getNullNet() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Reader
 
getNumberOfThreads() - Method in class com.xilinx.rapidwright.edif.ParallelEDIFParser
 
getNumDownhillNodes() - Method in class com.xilinx.rapidwright.design.SitePinInst
Counts the number of downhill nodes from the node connected to this pin.
getNumInstances() - Method in class com.xilinx.rapidwright.device.helper.TileColumnPattern
 
getNumOfClockRegionColumns() - Method in class com.xilinx.rapidwright.device.SLR
Gets the number of clock region columns in this SLR
getNumOfClockRegionRows() - Method in class com.xilinx.rapidwright.device.Device
Gets the number of clock region or fabric sub regions (FSRs) rows in the device.
getNumOfClockRegionRows() - Method in class com.xilinx.rapidwright.device.SLR
Gets the number of clock region rows in this SLR
getNumOfClockRegionsColumns() - Method in class com.xilinx.rapidwright.device.Device
Gets the number of clock regions or fabric sub regions (FSRs) columns in the device.
getNumOfConfigRows() - Method in class com.xilinx.rapidwright.bitstream.ConfigArray
Gets the total number of config rows in the config array
getNumOfSLRs() - Method in class com.xilinx.rapidwright.device.Device
Gets the total count of SLRs (Super Logic Regions or separate dies) in the device.
getOccupancy() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Gets the number of users.
getOldPhysicalName() - Method in class com.xilinx.rapidwright.design.PinSwap
 
getOpCode(int) - Static method in enum com.xilinx.rapidwright.bitstream.OpCode
For a given 2-bit code, get the corresponding opcode.
getOpCode() - Method in class com.xilinx.rapidwright.bitstream.Packet
Gets the specified opcode from the header of the packet
getOpCodeFromHeader(int) - Static method in enum com.xilinx.rapidwright.bitstream.OpCode
Takes a type 1 or type 2 header packet word and returns the corresponding opcode.
getOptionalNextToken(boolean) - Method in class com.xilinx.rapidwright.edif.EDIFTokenizer
Get the next token object
getOptionalNextTokenString(boolean) - Method in class com.xilinx.rapidwright.edif.EDIFTokenizer
Get the next token string
getOptions() - Method in class com.xilinx.rapidwright.bitstream.BitstreamHeader
Gets the options used as stored in the bitstream header
getOrCreate(Node, RouteNodeType) - Method in class com.xilinx.rapidwright.rwroute.RouteNodeGraph
 
getOrCreatePortInst(String) - Method in class com.xilinx.rapidwright.edif.EDIFCellInst
Gets the named EDIFPortInst or creates it (if correctly named) and returns it.
getOrigDirectory() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Keeps track of the original source directory from where this EDIF file was loaded.
getOriginal() - Method in class com.xilinx.rapidwright.placer.blockplacer.HardMacro
 
getOriginalInstName(String) - Method in class com.xilinx.rapidwright.design.MetadataParser
 
getOriginalNetRouting() - Method in class com.xilinx.rapidwright.design.Design
Gets a map of all the original net routing PIPs of nets that have been modified since Design.isCopyingOriginalNetsRouting() returns true.
getOriginalSiteInsts() - Method in class com.xilinx.rapidwright.design.Design
Gets a map of all the original SiteInst objects that have been modified since Design.isCopyingOriginalSiteInsts() returns true.
getOSName() - Static method in class com.xilinx.rapidwright.util.FileTools
 
getOtherBels() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
getOtherBels() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Reader
 
getOtherCell() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Builder
 
getOtherCell() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Reader
 
getOutpin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP.Builder
 
getOutpin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP.Reader
 
getOutputCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Builder
 
getOutputCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Reader
 
getOutputFile() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getOutputPin() - Method in class com.xilinx.rapidwright.device.SitePIP
Gets the BEL output pin for this SitePIP.
getOutputPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Builder
 
getOutputPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Reader
 
getOutputPinName() - Method in class com.xilinx.rapidwright.device.SitePIP
Gets the output pin name of the SitePIP.
getOutputResistance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Builder
 
getOutputResistance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Reader
 
getOwner() - Method in class com.xilinx.rapidwright.edif.EDIFPropertyValue
 
getPackage(String) - Method in class com.xilinx.rapidwright.device.Device
Gets the package object by the given name.
getPackage() - Method in class com.xilinx.rapidwright.device.PackagePin
Gets the package this pin belongs to.
getPackagePin(String) - Method in class com.xilinx.rapidwright.device.IOBank
Gets the named package pin in this IOBank
getPackagePin(String) - Method in class com.xilinx.rapidwright.device.Package
Gets the named package pin in this package
getPackagePin(Site) - Method in class com.xilinx.rapidwright.device.Package
Gets the corresponding package pin for this IO site.
getPackagePin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Builder
 
getPackagePin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Reader
 
getPackagePinMap() - Method in class com.xilinx.rapidwright.device.Package
Gets all the package pins for this package and returns them in a map
getPackagePinName(Site) - Method in class com.xilinx.rapidwright.device.Package
Gets the corresponding package pin name for this IO site.
getPackagePins() - Method in class com.xilinx.rapidwright.device.IOBank
Gets the set of package pins that belong to this IOBank
getPackagePins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Builder
 
getPackagePins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Reader
 
getPackagePinsMap() - Method in class com.xilinx.rapidwright.device.IOBank
Gets the map of package pins that belong to this IOBank
getPackages() - Method in class com.xilinx.rapidwright.device.Device
Gets and returns the name of all compatible packages for this device.
getPackages() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
getPackages() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
getPackets() - Method in class com.xilinx.rapidwright.bitstream.Bitstream
Gets the list of packets within this bitstream
getPackets() - Method in class com.xilinx.rapidwright.bitstream.ConfigArray
Creates the list of packets that correspond to the current state of this configuration array.
getPacketType() - Method in class com.xilinx.rapidwright.bitstream.Packet
Gets the packet type of the packet based on the header information.
getPacketType(int) - Static method in enum com.xilinx.rapidwright.bitstream.PacketType
Gets the packet type from a 32-bit packet header
getParallel() - Static method in class com.xilinx.rapidwright.util.ParallelismTools
Global getter for current parallel processing state.
getParameter() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Builder
 
getParameter() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Reader
 
getParameter() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry.Builder
 
getParameter() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry.Reader
 
getParameterDefs() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
getParameterDefs() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
getParameterPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Builder
 
getParameterPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Reader
 
getParameters() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellParameterDefinition.Builder
 
getParameters() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellParameterDefinition.Reader
 
getParameters() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Builder
 
getParameters() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Reader
 
getParametersFor(Series, String) - Static method in class com.xilinx.rapidwright.interchange.EnumerateCellBelMapping
 
getParametersSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps.Builder
 
getParametersSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps.Reader
 
getParamIntValue(String) - Static method in class com.xilinx.rapidwright.util.Params
Gets the integer value of the provided parameter name.
getParamMapping() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Builder
 
getParamMapping() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Reader
 
getParamOrDefaultIntSetting(String, int) - Static method in class com.xilinx.rapidwright.util.Params
Checks the parameter value of the provided key.
getParamValue(String) - Static method in class com.xilinx.rapidwright.util.Params
Gets the string value of the provided parameter name.
getParent() - Method in class com.xilinx.rapidwright.design.blocks.BlockInst
 
getParent() - Method in class com.xilinx.rapidwright.design.blocks.PBlock
 
getParent() - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
 
getParent() - Method in class com.xilinx.rapidwright.interchange.RouteBranchNode
 
getParent() - Method in class com.xilinx.rapidwright.router.RouteNode
 
getParentCell() - Method in class com.xilinx.rapidwright.design.Cell
Gets the parent logical cell of this cell instance.
getParentCell() - Method in class com.xilinx.rapidwright.edif.EDIFCellInst
 
getParentCell() - Method in class com.xilinx.rapidwright.edif.EDIFNet
 
getParentCell() - Method in class com.xilinx.rapidwright.edif.EDIFPort
 
getParentCell() - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
getParentHierarchicalInstName() - Method in class com.xilinx.rapidwright.design.Cell
Gets this cell's hierarchical parent instance name.
getParentInst() - Method in class com.xilinx.rapidwright.edif.EDIFHierNet
Gets the parent cell instance where this net is defined.
getParentNet(EDIFHierNet) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Gets the canonical net for this net name.
getParentNetMap() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Gets the map of all canonical net for every net alias.
getParentNetMapNames() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Gets the map of all canonical net for every net alias.
getParentNetName(String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Gets the canonical net for this net name.
getPart() - Method in class com.xilinx.rapidwright.bitstream.Bitstream
Gets the target part from header part name information.
getPart() - Method in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
getPart() - Method in class com.xilinx.rapidwright.design.Design
Gets the part object this design is targeting.
getPart(String) - Static method in class com.xilinx.rapidwright.device.PartNameTools
 
getPart() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
getPart() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Reader
 
getPartDumpResourceStream() - Static method in class com.xilinx.rapidwright.util.FileTools
Gets an input stream to the file containing a CSV file of valid parts for RapidWright.
getPartFolderResourceName(Part) - Static method in class com.xilinx.rapidwright.util.FileTools
Gets and returns the path of the folder where the part files resides for deviceName.
getPartFolderResourceName(FamilyType) - Static method in class com.xilinx.rapidwright.util.FileTools
Gets and returns the path of the folder where the family type resides.
getPartitionPinLoc() - Method in class com.xilinx.rapidwright.design.Port
 
getPartitionPins() - Method in class com.xilinx.rapidwright.design.Design
Gets the partition pins associated with this design
getPartName() - Method in class com.xilinx.rapidwright.bitstream.Bitstream
Gets the target part name from the header.
getPartName() - Method in class com.xilinx.rapidwright.bitstream.BitstreamHeader
Gets the part name as stored in the bitstream header
getPartName() - Method in class com.xilinx.rapidwright.design.Design
This will return the canonical part name used within Vivado.
getPartName(EDIFNetlist) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Helper method to get the part name from an EDIF netlist.
getPartNameFromDCP(Path) - Static method in class com.xilinx.rapidwright.design.Design
Gets the part name of the design in the DCP file specified without loading the entire DCP or the associated device.
getPartNameFromDCP(String) - Static method in class com.xilinx.rapidwright.design.Design
Gets the part name of the design in the DCP file specified without loading the entire DCP or the associated device.
getParts() - Static method in class com.xilinx.rapidwright.device.PartNameTools
Returns a collection of all known parts
getPassThruPortNames() - Method in class com.xilinx.rapidwright.design.Port
 
getPath() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort
 
getPathConnections() - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractPath
 
getPathConnections() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsPath
 
getPathConnections() - Method in class com.xilinx.rapidwright.placer.blockplacer.Path
 
getPaths() - Method in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
 
getPbFile() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getPBlock() - Method in class com.xilinx.rapidwright.design.Module
Gets the stored pblock used to create the module (if any)
getPblock() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getPBlocks() - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
getPBlockString() - Method in class com.xilinx.rapidwright.design.Module
Gets the stored pblock String used to create the module (if any)
getPerFrameCRCFARWrites(Bitstream) - Static method in class com.xilinx.rapidwright.bitstream.Bitstream
When given a per frame CRC bitstream (see UG908 - Table A-1, BITSTREAM.GENERAL.PERFRAMECRC YES), this method will extract the FAR writes that provide the consecutive list of FAR addresses of the device.
getPessimismA() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the critical path delay pessimism factor a.
getPessimismB() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets critical path delay factor b.
getPhysCells() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
getPhysCells() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Reader
 
getPhysicalCell(Design) - Method in class com.xilinx.rapidwright.edif.EDIFHierPortInst
Gets the physical cell to which this port instance has been placed
getPhysicalGndPins() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Get all Physical ground pins
getPhysicalNetFromPin(String, EDIFPortInst, Design) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
getPhysicalNetFromPin(EDIFHierPortInst, Design) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
getPhysicalNetPinMap() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Get the physical pins all parent nets (as returned by EDIFNetlist.getParentNet(EDIFHierNet)).
getPhysicalPinMapping(String) - Method in class com.xilinx.rapidwright.design.Cell
Gets the corresponding logical pin mapping for the provided logical pin.
getPhysicalPins(EDIFHierNet) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Get the physical pins of this net.
getPhysicalPins(String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Get all physical pins of a net.
getPhysicalPins(Net) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
For a given physical net, get all physical pins from the EDIF.
getPhysicalVccPins() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Get all Physical vcc pins
getPhysNets() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
getPhysNets() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Reader
 
getPhysType() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysCell.Builder
 
getPhysType() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysCell.Reader
 
getPin(String) - Method in class com.xilinx.rapidwright.device.BEL
Gets the pin on the element by name.
getPin(int) - Method in class com.xilinx.rapidwright.device.BEL
Gets the pin at the requested index.
getPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Builder
 
getPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Reader
 
getPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Builder
 
getPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Reader
 
getPin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBelPin.Builder
 
getPin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBelPin.Reader
 
getPin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePin.Builder
 
getPin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePin.Reader
 
getPin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Builder
 
getPin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Reader
 
getPinFunction() - Method in class com.xilinx.rapidwright.device.PackagePin
Get's the type of the site connected to this package pin
getPinIndex(String) - Method in class com.xilinx.rapidwright.device.Site
Gets the site pin index for the named site pin.
getPinMap() - Method in class com.xilinx.rapidwright.device.BEL
Gets a map keyed by name of all bel pins on this BEL.
getPinMap() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
getPinMap() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Reader
 
getPinMapping() - Method in class com.xilinx.rapidwright.timing.DSPTimingData
 
getPinMappingsL2P() - Method in class com.xilinx.rapidwright.design.Cell
Gets the map representing the logical to physical pin mappings for the cell.
getPinMappingsP2L() - Method in class com.xilinx.rapidwright.design.Cell
Gets the map representing the physical to logical pin mappings for the cell.
getPinName(int) - Method in class com.xilinx.rapidwright.device.Site
Gets the name of the site pin of the provided pin index.
getPinName() - Method in class com.xilinx.rapidwright.device.SitePin
Gets the site pin name
getPins() - Method in class com.xilinx.rapidwright.design.Net
Gets the site pins (source and sinks) of the net.
getPins() - Method in class com.xilinx.rapidwright.device.BEL
Gets the list of all pins on this BEL.
getPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Builder
 
getPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Reader
 
getPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps.Builder
 
getPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps.Reader
 
getPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnections.Builder
 
getPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnections.Reader
 
getPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps.Builder
 
getPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps.Reader
 
getPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParentPins.Builder
 
getPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParentPins.Reader
 
getPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PseudoCell.Builder
 
getPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PseudoCell.Reader
 
getPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
getPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Reader
 
getPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteWire.Builder
 
getPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteWire.Reader
 
getPinsDelay() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Builder
 
getPinsDelay() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Reader
 
getPinsDelayType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Builder
 
getPinsDelayType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Reader
 
getPinType() - Method in class com.xilinx.rapidwright.design.SitePinInst
Gets the pin's type
getPIP(String) - Method in class com.xilinx.rapidwright.device.Device
Creates the corresponding PIP from its full Vivado name (/.)
getPIP(int, int) - Method in class com.xilinx.rapidwright.device.Tile
Gets the PIP that exists between wire0 and wire1 in this tile.
getPip() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder
 
getPip() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Reader
 
getPIP(Tile, int, int) - Method in class com.xilinx.rapidwright.interchange.PIPCache
 
getPIP() - Method in class com.xilinx.rapidwright.interchange.RouteBranchNode
 
getPIP(Tile, Wire[], int) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Gets the PIP instance based on the Tile instance of a node, its driver node wires and its base Wire instance.
getPIP(Node, Node) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Gets the PIP instance from a driver Node instance to a load Node instance.
getPIP(int) - Method in class com.xilinx.rapidwright.timing.TimingGroup
 
getPipFile() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getPIPs() - Method in class com.xilinx.rapidwright.design.Net
Gets the PIPs (routing resources) used by the net.
getPIPs() - Method in class com.xilinx.rapidwright.device.Tile
This method will create a new list of PIPs that represent those in this Tile.
getPIPs(String) - Method in class com.xilinx.rapidwright.device.Tile
Creates a new list of PIPs that connect downhill to the provided wire name.
getPIPs(int) - Method in class com.xilinx.rapidwright.device.Tile
Creates a new list of PIPs that connect downhill to the provided wire index.
getPips() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Builder
 
getPips() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Reader
 
getPIPs() - Method in class com.xilinx.rapidwright.timing.TimingGroup
 
getPIPsBackToSource() - Method in class com.xilinx.rapidwright.router.RouteNode
 
getPIPsForwardToSink() - Method in class com.xilinx.rapidwright.router.RouteNode
 
getPIPsFromNodes(List<Node>) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Gets a list of PIP instances from a list of Node instances.
getPIPsFromNodes(List<Node>, boolean) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Gets a list of PIP instances from a list of Node instances.
getPipTimings() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
getPipTimings() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
getPIPType() - Method in class com.xilinx.rapidwright.device.PIP
Gets the PIP type (denoted by the arrow string between start and end wire).
getPIPType() - Method in class com.xilinx.rapidwright.device.Wire
If this Wire was created from a PIP, it gets the PIP type from which it was created.
getPkg() - Method in class com.xilinx.rapidwright.device.Part
 
getPlacement() - Method in class com.xilinx.rapidwright.design.AbstractModuleInst
 
getPlacement() - Method in class com.xilinx.rapidwright.design.blocks.BlockInst
 
getPlacement() - Method in class com.xilinx.rapidwright.design.ModuleImplsInst
 
getPlacement() - Method in class com.xilinx.rapidwright.design.ModuleInst
 
getPlacement() - Method in class com.xilinx.rapidwright.placer.blockplacer.HardMacro
 
getPlacements() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
getPlacements() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Reader
 
getPlacerDirectives() - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
getPort(String) - Method in class com.xilinx.rapidwright.design.Module
Returns the port with the given name.
getPort(String) - Method in class com.xilinx.rapidwright.design.ModuleImplsInst
 
getPort(String) - Method in class com.xilinx.rapidwright.design.ModuleInst
Gets the corresponding port on the module by name.
getPort() - Method in class com.xilinx.rapidwright.design.noc.NOCConnection
Gets the port name associated with this connection.
getPort() - Method in class com.xilinx.rapidwright.design.SitePinInst
Gets the module port that references this pin.
getPort(String) - Method in class com.xilinx.rapidwright.edif.EDIFCell
Gets a port by bus name (see EDIFPort.getBusName()).
getPort(String) - Method in class com.xilinx.rapidwright.edif.EDIFCellInst
Gets the port on the underlying cell type.
getPort(String) - Method in class com.xilinx.rapidwright.edif.EDIFPortCache
 
getPort() - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
getPort() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Builder
 
getPort() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Reader
 
getPort() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Builder
 
getPort() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Reader
 
getPort() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort.InstPort
 
getPortByLegalName(String, EDIFReadLegalNameCache) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
getPortByPortInstName(String) - Method in class com.xilinx.rapidwright.edif.EDIFCell
Given a port instance name (not including the name of the cell instance), gets the associated port.
getPortIndexFromName(String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
getPortIndexFromNameIndex(int) - Method in class com.xilinx.rapidwright.edif.EDIFPort
Gets the internal port instance index from the named index for this port.
getPortInParent() - Method in class com.xilinx.rapidwright.edif.EDIFHierPortInst
For Ports that represent connections to the parent (portInst.getCellInst()==null), get the parent's portInst that connects to this port.
getPortInst(String) - Method in class com.xilinx.rapidwright.edif.EDIFCellInst
Gets the port instance on this cell by pin name (EDIFPortInst.getName()).
getPortInst(String) - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
Get a hierarchical port inst, viewed from external to this cell inst.
getPortInst() - Method in class com.xilinx.rapidwright.edif.EDIFHierPortInst
 
getPortInst(EDIFCellInst, String) - Method in class com.xilinx.rapidwright.edif.EDIFNet
Gets the port instance specified by the cell instance and name of the port instance.
getPortInstNameFromPort(int) - Method in class com.xilinx.rapidwright.edif.EDIFPort
Gets the PortInst name from this port and the specified index
getPortInstNameFromPort() - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
getPortInsts() - Method in class com.xilinx.rapidwright.edif.EDIFCellInst
Gets the sorted ArrayList of EDIFPortInsts on this cell instance as a collection.
getPortInsts() - Method in class com.xilinx.rapidwright.edif.EDIFHierNet
Gets the sorted ArrayList of EDIFHierPortInsts on this net as a collection.
getPortInsts() - Method in class com.xilinx.rapidwright.edif.EDIFNet
Gets the sorted ArrayList of EDIFPortInsts on this net as a collection.
getPortInsts() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Builder
 
getPortInsts() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Reader
 
getPortInstsFromSitePinInst(SitePinInst) - Static method in class com.xilinx.rapidwright.design.DesignTools
Given a SitePinInst, this method will find any return hierarchical logical cell pins within the site directly connected to the site pin.
getPortList() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
getPortList() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Reader
 
getPortMap() - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
getPorts() - Method in class com.xilinx.rapidwright.design.Module
Gets and returns the port list for this module.
getPorts() - Method in class com.xilinx.rapidwright.design.ModuleImplsInst
 
getPorts() - Method in class com.xilinx.rapidwright.design.noc.NOCSlave
Gets the ports on this slave client.
getPorts() - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
getPorts() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Builder
 
getPorts() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Reader
 
getPorts() - Method in class com.xilinx.rapidwright.placer.blockplacer.Path
 
getPortTile() - Method in class com.xilinx.rapidwright.placer.blockplacer.PathPort
 
getPortTiles(String) - Method in class com.xilinx.rapidwright.design.ModuleImpls
Get all Tiles a port connects to in the different module variants
getPresentCongestionCost() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Gets the present congestion cost of a RouteNode Object.
getPresentCongestionMultiplier() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the present congestion factor multiplier.
getPreservedNet(Node) - Method in class com.xilinx.rapidwright.rwroute.RouteNodeGraph
 
getPrev() - Method in class com.xilinx.rapidwright.rwroute.LightweightRouteNode
 
getPrev() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Gets the parent RouteNode instance for routing a connection.
getPrev() - Method in class com.xilinx.rapidwright.timing.TimingVertex
 
getPrimaryPinsToTileWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Builder
 
getPrimaryPinsToTileWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Reader
 
getPrimarySitePinName(String) - Method in class com.xilinx.rapidwright.design.SiteInst
For site pins on alternate site type instances, there is a mapping to the primary site type pin name.
getPrimarySiteTypeEnum() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the primary site type (default type) for the site of this site instance.
getPrimaryType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Builder
 
getPrimaryType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Reader
 
getPrimitiveSiteFromTile(Tile, SiteTypeEnum) - Method in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer
 
getPrimitiveSiteFromTile(Tile, SiteTypeEnum) - Method in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
 
getPrimitivesLibrary() - Static method in class com.xilinx.rapidwright.design.Design
Gets the set of all unisim primitives as an EDIF library.
getPrimitivesLibrary(String) - Static method in class com.xilinx.rapidwright.design.Design
Gets the set of compatible unisim primitives for the specified device as an EDIF Library.
getPrimLibs() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
getPrimLibs() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
getPrimName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Builder
 
getPrimName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Reader
 
getPrimParam() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
getPrimParam() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Reader
 
getPrimParam() - Method in class com.xilinx.rapidwright.interchange.MacroParamRule
 
getProcessID() - Method in class com.xilinx.rapidwright.util.LocalJob
 
getProperInputStream(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Creates a BufferedReader that reads an input file and determines based on file extension (*.gz) if the file is gzipped or not.
getProperOutputStream(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Creates a new BufferedWriter that will either write out text or a gzipped compressed version of text based on the file extension (*.gz -> gzipped, all others target an uncompressed output.
getProperties() - Method in class com.xilinx.rapidwright.design.Cell
Helper method to get the properties on the underlying logical cell (EDIFCellInst) corresponding to this cell.
getProperties() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
getProperties() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Reader
 
getPropertiesMap() - Method in class com.xilinx.rapidwright.edif.EDIFPropertyObject
Get all properties in native format
getProperty(String) - Method in class com.xilinx.rapidwright.design.Cell
Helper method to get a property from the logical cell instance corresponding to this cell
getProperty(String) - Method in class com.xilinx.rapidwright.edif.EDIFPropertyObject
 
getPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
getPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Builder
 
getPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Reader
 
getPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Builder
 
getPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Reader
 
getPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Builder
 
getPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Reader
 
getPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Builder
 
getPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Reader
 
getPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Reader
 
getProtocol() - Method in class com.xilinx.rapidwright.design.noc.NOCClient
Gets the protocol type of this client
getPseudoCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
getPseudoCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Reader
 
getPseudoPIPHelper(PIP) - Static method in class com.xilinx.rapidwright.device.PseudoPIPHelper
Gets the pseudo PIP helper for the corresponding PIP.
getPseudoPIPMap(Device) - Static method in class com.xilinx.rapidwright.device.PseudoPIPHelper
Gets a map for the given device that enumerates all pseudo PIPs for each tile type.
getPseudoPIPName() - Method in class com.xilinx.rapidwright.device.PseudoPIPHelper
 
getRAMSink(RouteNode) - Method in class com.xilinx.rapidwright.router.Router
 
getRapidWrightPath() - Static method in class com.xilinx.rapidwright.util.FileTools
Gets and returns the value of the environment variable RAPIDWRIGHT_PATH.
getRapidWrightResourceFileName(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Finds and returns a file name that can be read for the corresponding RapidWright resource.
getRapidWrightResourceInputStream(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Identifies the proper location for a RapidWright data resource and returns an opened InputStream to that resource.
getReadBandwidth() - Method in class com.xilinx.rapidwright.design.noc.NOCConnection
Gets the read bandwidth in MB/s of this connection.
getReadLatency() - Method in class com.xilinx.rapidwright.design.noc.NOCConnection
Gets the read latency for this connection in cycles.
getReadTC() - Method in class com.xilinx.rapidwright.design.noc.NOCMaster
Gets the traffic class for master reads.
getReferenceCopy() - Method in class com.xilinx.rapidwright.design.AltPinMapping
Creates a reference copy of this alternate pin mapping--a deep copy of the object without this new instance being referenced in any cell of the design.
getReferenceCopy() - Method in class com.xilinx.rapidwright.design.Cell
Creates a reference copy of this cell--a deep copy of the cell without this new instance being referenced in the design object.
getReferenceCopy() - Method in class com.xilinx.rapidwright.design.SiteInst
Creates a reference copy of this SiteInst--a deep copy of the SiteInst without this new instance being referenced in the design object.
getReferenceCopy() - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
Creates a reference copy of this cell instance--a deep copy of the instance without this new instance being referenced in the design or netlist object.
getRefIntTile() - Method in class com.xilinx.rapidwright.timing.TimingModel
Get the interconnect tile used as the starting point to point the model.
getRegFile() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getRegionID() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getRegister() - Method in class com.xilinx.rapidwright.bitstream.Packet
Gets the configuration register specified in the header of the packet.
getRegisterType(int) - Static method in enum com.xilinx.rapidwright.bitstream.RegisterType
Takes a register address and returns the register type.
getRegisterTypeFromHeader(int) - Static method in enum com.xilinx.rapidwright.bitstream.RegisterType
Takes a packet type 1 header and returns the register type.
getRequiredBandwidth() - Method in class com.xilinx.rapidwright.design.noc.NOCChannel
Gets this channel's required bandwidth (in MB/s)
getRequiredLatency() - Method in class com.xilinx.rapidwright.design.noc.NOCChannel
Gets the latency constraint on this channel (in cycles)
getRequiredTime(GraphPath<TimingVertex, TimingEdge>) - Method in class com.xilinx.rapidwright.timing.TimingGraph
Gets the required time from a specified GraphPath at its source.
getRequiredTime() - Method in class com.xilinx.rapidwright.timing.TimingVertex
Gets the required time stored at this vertex.
getRequires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Builder
 
getRequires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Reader
 
getReroutePercentage() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the maximum percentage of critical connections that should be re-routed.
getReservedNodes() - Method in class com.xilinx.rapidwright.router.AbstractRouter
 
getReservedNodesForNet(Net) - Method in class com.xilinx.rapidwright.router.AbstractRouter
Gets are returns a list of reserved nodes for the provide net.
getResistance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming.Builder
 
getResistance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming.Reader
 
getResistance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Builder
 
getResistance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Reader
 
getRevision() - Method in class com.xilinx.rapidwright.device.Part
 
getRight() - Method in class com.xilinx.rapidwright.edif.EDIFPort
 
getRnodes() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
getRnodes() - Method in class com.xilinx.rapidwright.rwroute.RouteNodeGraph
 
getRootBusName(String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Strips off bracket index in a bussed name (ex: "data[0]" --> "data[").
getRootBusName(String, boolean) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Strips off bracket index in a bussed name (ex: "data[0]" --> "data").
getRootName() - Method in class com.xilinx.rapidwright.device.Tile
Gets the prefix of the tile name, before "_X#Y#".
getRootRuntimeTracker() - Method in class com.xilinx.rapidwright.util.RuntimeTrackerTree
Gets the name of the root runtime tracker.
getRoutedBELPin(Design) - Method in class com.xilinx.rapidwright.edif.EDIFHierPortInst
Gets the physical site instance and BEL pin location where this port instance has been placed
getRouteDelaysToSinkINTTiles() - Method in class com.xilinx.rapidwright.timing.ClkRouteTiming
 
getRoutedSitePin(Cell, Net, String) - Static method in class com.xilinx.rapidwright.design.DesignTools
Gets the first site pin that is currently routed to the specified cell pin.
getRoutedSitePinFromPhysicalPin(Cell, Net, String) - Static method in class com.xilinx.rapidwright.design.DesignTools
Gets the first site pin that is currently routed to the specified cell pin.
getRoutedSitePinInst(Design) - Method in class com.xilinx.rapidwright.edif.EDIFHierPortInst
Gets the routed site pin if this port is on a placed leaf cell and its' site is routed
getRoutedTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Builder
 
getRoutedTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Reader
 
getRoutedTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Builder
 
getRoutedTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Reader
 
getRoutedTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Builder
 
getRoutedTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Reader
 
getRoutedTags() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Builder
 
getRoutedTags() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Reader
 
getRouteNode() - Method in class com.xilinx.rapidwright.design.SitePinInst
Creates and returns a new route node that connects to this pin.
getRouterDirectives() - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
getRouteSegment() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.Builder
 
getRouteSegment() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.Reader
 
getRoutesToSinkINTTiles() - Method in class com.xilinx.rapidwright.timing.ClkRouteTiming
 
getRouteThruFileName(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Gets the relative routethru file name for the given device.
getRoutingBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Builder
 
getRoutingBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Reader
 
getRoutingPblock() - Method in class com.xilinx.rapidwright.router.Router
 
getRow(Series) - Method in class com.xilinx.rapidwright.bitstream.Block
Gets the row address of this block in the Frame Address Register (FAR).
getRow() - Method in class com.xilinx.rapidwright.bitstream.FAR
Gets the current row to which the FAR address is currently set
getRow() - Method in class com.xilinx.rapidwright.device.ClockRegion
Gets the row (Y coordinate) to which this clock regions belongs.
getRow() - Method in class com.xilinx.rapidwright.device.Tile
The absolute row index (0 starting at top)
getRow() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Builder
 
getRow() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Reader
 
getRowAddress(int, Series) - Static method in class com.xilinx.rapidwright.bitstream.FAR
Extracts the row address from a 32-bit FAR address.
getRowIndex() - Method in class com.xilinx.rapidwright.bitstream.ConfigRow
Gets the config row index as referenced from the Frame Address Register (FAR).
getRowLSB() - Method in enum com.xilinx.rapidwright.device.Series
 
getRowMask() - Method in enum com.xilinx.rapidwright.device.Series
 
getRows() - Method in class com.xilinx.rapidwright.device.Device
Gets and returns the number of rows of tiles in this device.
getRowWidth() - Method in enum com.xilinx.rapidwright.device.Series
 
getRpmX() - Method in class com.xilinx.rapidwright.device.Site
Gets the RPM X coordinate of this site.
getRpmY() - Method in class com.xilinx.rapidwright.device.Site
Gets the RPM Y coordinate of this site.
getRunDir() - Method in class com.xilinx.rapidwright.util.Job
 
getRunDirectory() - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
getRuntime(String) - Method in class com.xilinx.rapidwright.tests.CodePerfTracker
 
getRuntimeTracker(String) - Method in class com.xilinx.rapidwright.util.RuntimeTrackerTree
Gets a created RuntimeTracker instance corresponding to a name.
getSameTilePorts() - Method in class com.xilinx.rapidwright.design.ModuleImpls
 
getSamplePathDelay(String, TimingManager, Map<TimingEdge, Connection>, RouteNodeGraph) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Gets the delay of a given path, using output pin only.
getSamplePathDelayInfo(List<String>, Map<TimingEdge, Connection>, boolean, RouteNodeGraph) - Method in class com.xilinx.rapidwright.timing.TimingManager
Gets and prints the given path from the TimingGraph
getScene() - Method in class com.xilinx.rapidwright.device.browser.WireConnectionLine
 
getSceneSize() - Method in class com.xilinx.rapidwright.gui.TileScene
 
getSecond() - Method in class com.xilinx.rapidwright.util.Pair
 
getSecondPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Builder
 
getSecondPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Reader
 
getSecondPin() - Method in class com.xilinx.rapidwright.timing.TimingEdge
For debug, gets the second SitePinInst for this edge.
getSerializedFileName(String) - Static method in class com.xilinx.rapidwright.router.RouteThruHelper
 
getSeries() - Method in class com.xilinx.rapidwright.bitstream.Bitstream
Gets the series as derived from the part name stored in the header
getSeries() - Method in class com.xilinx.rapidwright.bitstream.Block
Gets the series of this block based on the sub block type.
getSeries() - Method in class com.xilinx.rapidwright.bitstream.BlockSubType
Gets the series of this block sub type
getSeries() - Method in class com.xilinx.rapidwright.bitstream.FAR
Gets the target series of this FAR
getSeries() - Method in class com.xilinx.rapidwright.bitstream.Frame
Gets the series based on the number of word allocated in the frame.
getSeries() - Method in class com.xilinx.rapidwright.device.Device
Gets and returns the series for this device.
getSeries() - Method in class com.xilinx.rapidwright.device.Part
 
getSeries() - Method in class com.xilinx.rapidwright.device.SLR
Gets the series to which this SLR belongs
getSeriesFromFamilyType(FamilyType) - Static method in class com.xilinx.rapidwright.device.PartNameTools
Gets the series to which the provided family belongs.
getShape() - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
 
getShareExponent() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the sharing exponent that discourages resource sharing for timing-driven routing of critical connections.
getSibling(EDIFCellInst) - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
 
getSingleBit() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Builder
 
getSingleBit() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Reader
 
getSingleCorrespondingPin(Port) - Method in class com.xilinx.rapidwright.design.ModuleInst
Gets the single corresponding port pin (SitePinInst) on this module instance that corresponds to the module's port.
getSingleSiteInst() - Method in class com.xilinx.rapidwright.design.Port
 
getSingleSiteInstName() - Method in class com.xilinx.rapidwright.design.Port
Gets and returns the instance name.
getSingleSitePinInst() - Method in class com.xilinx.rapidwright.design.Port
Convenience method for ports with at most one SitePinInst.
getSingleSitePinInstName() - Method in class com.xilinx.rapidwright.design.Port
Gets the pin name of the instance where the port resides.
getSink() - Method in class com.xilinx.rapidwright.placer.blockplacer.PortWire
 
getSink() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
getSinkBlock() - Method in class com.xilinx.rapidwright.placer.blockplacer.PortWire
 
getSinkPins() - Method in class com.xilinx.rapidwright.design.Net
Gets all the sink pins on the net (no sources).
getSinkRnode() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
getSinksFromNet(EDIFHierNet) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Gets all the primitive pin sinks that are strict descendants of this provided net.
getSite() - Method in class com.xilinx.rapidwright.design.Cell
Gets the site on which this cell is placed.
getSite() - Method in class com.xilinx.rapidwright.design.SiteConfig
 
getSite() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the Site for this instance.
getSite() - Method in class com.xilinx.rapidwright.design.SitePinInst
Gets the site this pin is placed on.
getSite(String) - Method in class com.xilinx.rapidwright.device.Device
This gets and returns a site on the device by name.
getSite() - Method in class com.xilinx.rapidwright.device.PackagePin
Get's the site connected to this package pin
getSite() - Method in class com.xilinx.rapidwright.device.SitePin
Gets the site
getSite() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements.Builder
 
getSite() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements.Reader
 
getSite() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Builder
 
getSite() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Reader
 
getSite() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Builder
 
getSite() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Reader
 
getSite() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Builder
 
getSite() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Reader
 
getSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
getSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Reader
 
getSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBel.Builder
 
getSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBel.Reader
 
getSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBelPin.Builder
 
getSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBelPin.Reader
 
getSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Builder
 
getSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Reader
 
getSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePin.Builder
 
getSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePin.Reader
 
getSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Builder
 
getSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Reader
 
getSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.SiteInstance.Builder
 
getSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.SiteInstance.Reader
 
getSiteByIndex(int) - Method in class com.xilinx.rapidwright.device.Device
Gets the site in this device with the provided index
getSiteConns() - Method in class com.xilinx.rapidwright.device.BELPin
 
getSiteExternalWireIndex() - Method in class com.xilinx.rapidwright.design.SitePinInst
Gets the external wire on this pin.
getSiteFromPackagePin(String) - Method in class com.xilinx.rapidwright.device.Device
Gets the site that connects to the named package pin.
getSiteFromPackagePin(String) - Method in class com.xilinx.rapidwright.device.Package
Gets the site associated with the provided package pin name
getSiteIndex(String) - Method in class com.xilinx.rapidwright.device.Device
Gets the unique index of the site by looking up the site by name.
getSiteIndex(Site) - Method in class com.xilinx.rapidwright.device.Device
Gets the unique index of the site.
getSiteIndex(Site) - Method in class com.xilinx.rapidwright.device.Tile
Get's the index of this site within the tile's site array.
getSiteIndexInTile() - Method in class com.xilinx.rapidwright.device.Site
Gets the index of this site as stored in its parent tile.
getSiteInst() - Method in class com.xilinx.rapidwright.design.Cell
Gets the site instance this cell belongs to.
getSiteInst(String) - Method in class com.xilinx.rapidwright.design.Design
Get an instance by name.
getSiteInst(String) - Method in class com.xilinx.rapidwright.design.Module
Gets and returns the instance in the module called name.
getSiteInst() - Method in class com.xilinx.rapidwright.design.SitePinInst
Gets and returns the instance where this pin resides.
getSiteInstAtSite(Site) - Method in class com.xilinx.rapidwright.design.Module
Gets the module site instance at the specified site
getSiteInstFromSite(Site) - Method in class com.xilinx.rapidwright.design.Design
Gets and returns the site instance placed on the specified site.
getSiteInstFromSiteName(String) - Method in class com.xilinx.rapidwright.design.Design
Gets and returns the site instance at the specified site.
getSiteInstName() - Method in class com.xilinx.rapidwright.design.SitePinInst
Gets and returns the name of the instance where this pin resides.
getSiteInsts() - Method in class com.xilinx.rapidwright.design.Design
Gets and returns all of the instance in the design.
getSiteInsts() - Method in class com.xilinx.rapidwright.design.Module
Gets and returns all of the instances part of this module.
getSiteInsts() - Method in class com.xilinx.rapidwright.design.ModuleInst
Gets the site instance that belong to this module instance.
getSiteInsts() - Method in class com.xilinx.rapidwright.design.Net
Gets the set of SiteInsts that connect to or are routed by this net.
getSiteInsts() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
getSiteInsts() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Reader
 
getSiteName() - Method in class com.xilinx.rapidwright.design.Cell
Gets the site name onto which this cell is placed.
getSiteName() - Method in class com.xilinx.rapidwright.design.SiteConfig
 
getSiteName() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the string that defines the instance location (such as 'SLICE_X0Y0')
getSitePin(Site) - Method in class com.xilinx.rapidwright.device.BELPin
If the site wire to which this BELPin corresponds connects to a site pin, it returns the relevant SitePin.
getSitePin(String) - Method in class com.xilinx.rapidwright.device.Device
Creates the corresponding SitePin from its full Vivado name (/)
getSitePin() - Method in class com.xilinx.rapidwright.device.Node
Gets the site pin connected to this node.
getSitePin() - Method in class com.xilinx.rapidwright.device.Wire
Gets the associated site pin with this wire and/or node.
getSitePin() - Method in interface com.xilinx.rapidwright.device.WireInterface
Gets the corresponding site pin (if any) to this wire/base wire.
getSitePin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SitePinConstantExceptions.Builder
 
getSitePin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SitePinConstantExceptions.Reader
 
getSitePin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder
 
getSitePin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Reader
 
getSitePin() - Method in class com.xilinx.rapidwright.interchange.RouteBranchNode
 
getSitePinCount() - Method in class com.xilinx.rapidwright.device.Site
Gets the total number of site pins on this site (type).
getSitePinFromLogicalPin(String, List<String>) - Method in class com.xilinx.rapidwright.design.Cell
Attempts to get the physical net pin from this cell's logical pin name.
getSitePinFromPortInst(EDIFPortInst, List<String>) - Method in class com.xilinx.rapidwright.design.Cell
Attempts to get the physical net pin from this cell's port ref.
getSitePinFromWire(int) - Method in class com.xilinx.rapidwright.device.Tile
Gets the site pin corresponding to the wire of interest in the tile if it is connected.
getSitePinInst(String) - Method in class com.xilinx.rapidwright.design.SiteInst
This method will get and return the instantiation of the SitePinInst class object that represents a used pin on this instance given the pin name.
getSitePinInst() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort.SitePinInstPort
 
getSitePinInst() - Method in class com.xilinx.rapidwright.placer.blockplacer.PathPort
 
getSitePinInstMap() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the pin map for this instance.
getSitePinInstNames() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets all the pin names that are currently being used on this instance.
getSitePinInsts() - Method in class com.xilinx.rapidwright.design.Port
 
getSitePinInsts() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets and returns the set of pins being used on this instance.
getSitePinName() - Method in class com.xilinx.rapidwright.design.SitePinInst
Get the concatenated siteName.PinName (ex.
getSitePinNames() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the array of all site pin names for this site type.
getSitePinSource(BELPin) - Static method in class com.xilinx.rapidwright.design.DesignTools
Given an unroute site wire path, find the site pin name that would drive the given BELPin.
getSitePIP(String, String) - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the site PIP by the specified BEL and input/output pin names
getSitePIP(BELPin) - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the site PIP that connects to the BEL input pin provided
getSitePIP(int) - Method in class com.xilinx.rapidwright.device.Site
Gets the site PIP at the provided index
getSitePIP(BELPin) - Method in class com.xilinx.rapidwright.device.Site
Gets the site PIP connecting the two element (BEL) pins provided.
getSitePIP() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder
 
getSitePIP() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Reader
 
getSitePIP() - Method in class com.xilinx.rapidwright.interchange.RouteBranchNode
 
getSitePIPCount() - Method in class com.xilinx.rapidwright.device.Site
Gets the number of site PIPs in this site.
getSitePIPs() - Method in class com.xilinx.rapidwright.design.SiteInst
This will get the SitePIPs of the site type this SiteInst instantiates.
getSitePIPs() - Method in class com.xilinx.rapidwright.device.BELPin
 
getSitePIPs() - Method in class com.xilinx.rapidwright.device.Site
Gets the array of site PIPs in this site.
getSitePIPs() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
getSitePIPs() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Reader
 
getSitePIPStatus(SitePIP) - Method in class com.xilinx.rapidwright.design.SiteInst
Determines if the referenced site PIP is enabled or not.
getSitePIPStatus(int) - Method in class com.xilinx.rapidwright.design.SiteInst
Determines if the indexed site PIP is enabled or not.
getSites() - Method in class com.xilinx.rapidwright.device.Tile
Gets and returns the site array for this tile.
getSites() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Builder
 
getSites() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Reader
 
getSiteSources() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
getSiteSources() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Reader
 
getSiteType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource.Builder
 
getSiteType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource.Reader
 
getSiteType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SitePinConstantExceptions.Builder
 
getSiteType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SitePinConstantExceptions.Reader
 
getSiteType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry.Builder
 
getSiteType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry.Reader
 
getSiteType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeBelEntry.Builder
 
getSiteType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeBelEntry.Reader
 
getSiteTypeCount() - Method in class com.xilinx.rapidwright.device.Device
Gets the total number of unique site types used in the device.
getSiteTypeEnum() - Method in class com.xilinx.rapidwright.design.SiteConfig
 
getSiteTypeEnum() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the type of the site instance (such as "SLICEL" or "SLICEM").
getSiteTypeEnum() - Method in class com.xilinx.rapidwright.design.SitePinInst
Gets the site type enum for this pin's site
getSiteTypeEnum() - Method in class com.xilinx.rapidwright.device.BEL
Gets the site type of this BEL.
getSiteTypeEnum() - Method in class com.xilinx.rapidwright.device.PackagePin
Gets the SiteTypeEnum associated with this package pin's BEL.
getSiteTypeEnum() - Method in class com.xilinx.rapidwright.device.PseudoPIPHelper
 
getSiteTypeEnum() - Method in class com.xilinx.rapidwright.device.Site
Gets and returns the native type of primitive of this primitive site.
getSiteTypeList() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
getSiteTypeList() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
getSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps.Builder
 
getSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps.Reader
 
getSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Builder
 
getSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Reader
 
getSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
getSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Reader
 
getSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Builder
 
getSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Reader
 
getSiteWireBELPins() - Method in class com.xilinx.rapidwright.design.SitePinInst
Gets the list of BEL pins connected to this site pin internally.
getSiteWireCount() - Method in class com.xilinx.rapidwright.device.Site
Returns the total number of site wires in this site.
getSiteWireIndex() - Method in class com.xilinx.rapidwright.design.SitePinInst
Gets the site wire index of the wire in the site attached to this site pin.
getSiteWireIndex() - Method in class com.xilinx.rapidwright.device.BELPin
 
getSiteWireIndex(String) - Method in class com.xilinx.rapidwright.device.Site
Looks up the index by name of the site wire within the site.
getSiteWireName() - Method in class com.xilinx.rapidwright.design.SitePinInst
Gets the site wire name of the wire in the site attached to this site pin.
getSiteWireName() - Method in class com.xilinx.rapidwright.device.BELPin
Gets the site wire name connected to this pin.
getSiteWireName(int) - Method in class com.xilinx.rapidwright.device.Site
Gets the site wire name from a site wire index
getSiteWireNameFromLogicalPin(String) - Method in class com.xilinx.rapidwright.design.Cell
Uses the logical pin name to lookup the site wire name from the physical mapping pin on the cell.
getSiteWireNameFromPhysicalPin(String) - Method in class com.xilinx.rapidwright.design.Cell
Gets the name of the site wire immediately connected to the physical pin specified.
getSiteWireNames() - Method in class com.xilinx.rapidwright.device.Site
Gets the array of site wire names for this site.
getSiteWirePins(String) - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the site wire's connected BELPins for the current site type of this site instance.
getSiteWirePins(int) - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the site wire's connected BELPins for the current site type of this site instance.
getSiteWires() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the array of site wire names for the type of this site instance.
getSiteWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
getSiteWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Reader
 
getSiteWiresFromNet(Net) - Method in class com.xilinx.rapidwright.design.SiteInst
Gets all site wire names occupied by the provided net.
getSiteWireToNetMap() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets a map that provides the mapping between a site wire name and its net within a site.
getSize() - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractPath
 
getSizeInTiles() - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
 
getSlack(GraphPath<TimingVertex, TimingEdge>) - Method in class com.xilinx.rapidwright.timing.TimingGraph
Gets the slack from a specified GraphPath at its source.
getSlack() - Method in class com.xilinx.rapidwright.timing.TimingVertex
Gets the slack stored at this vertex.
getSlaveClients() - Method in class com.xilinx.rapidwright.design.noc.NOCDesign
Gets the map of slave clients in the NOC design.
getSlow() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Builder
 
getSlow() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Reader
 
getSlow() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Builder
 
getSlow() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Reader
 
getSLR() - Method in class com.xilinx.rapidwright.device.ClockRegion
Gets the SLR to which this clock region belongs.
getSLR(int) - Method in class com.xilinx.rapidwright.device.Device
Gets an SLR by its index.
getSLR() - Method in class com.xilinx.rapidwright.device.Tile
Gets the SLR to which this tile belongs
getSLRByConfigOrderIndex(int) - Method in class com.xilinx.rapidwright.device.Device
Gets the SLR with the specified configuration order index.
getSLRIndex() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
 
getSLRs() - Method in class com.xilinx.rapidwright.device.Device
Gets all SLRs in the device.
getSortedMostCommonPatterns(HashMap<TileColumnPattern, TreeSet<Integer>>) - Static method in class com.xilinx.rapidwright.device.helper.TileColumnPattern
Gets an array of all tile column pattersn sorted by those with the most number of instances.
getSortedPortList(EDIFCell) - Static method in class com.xilinx.rapidwright.design.RTLStubGenerator
 
getSource() - Method in class com.xilinx.rapidwright.design.Net
Gets and returns the source of the net.
getSource() - Method in class com.xilinx.rapidwright.design.noc.NOCConnection
Gets the source or NOC master for this connection.
getSource() - Method in class com.xilinx.rapidwright.placer.blockplacer.PortWire
 
getSource() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
getSourceBlock() - Method in class com.xilinx.rapidwright.placer.blockplacer.PortWire
 
getSourcePin() - Method in class com.xilinx.rapidwright.device.BELPin
Examines all the BEL pins on the site wire and returns the first source (output pin).
getSourcePortInsts(boolean) - Method in class com.xilinx.rapidwright.edif.EDIFHierNet
This returns all sources on the net, either output ports of the cell instances in the cell or the top level input ports.
getSourcePortInsts(boolean) - Method in class com.xilinx.rapidwright.edif.EDIFNet
This returns all sources on the net, either output ports of the cell instances in the cell or the top level input ports.
getSourceRnode() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
getSources() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Builder
 
getSources() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Reader
 
getSourcesFromPIPs(ArrayList<PIP>) - Method in class com.xilinx.rapidwright.router.AbstractRouter
Creates sources from a list of PIPs
getSourcesFromPIPs(List<PIP>, HashSet<RouteNode>) - Method in class com.xilinx.rapidwright.router.Router
Creates sources from a list of PIPs
getSourceTile() - Method in class com.xilinx.rapidwright.design.Net
Gets and returns the tile where the source pin resides.
getSourceToSinkINTNodeDelays(Net, DelayEstimatorBase) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Gets a map containing net delay for each sink pin paired with an INT tile node of a routed net.
getSpeed() - Method in class com.xilinx.rapidwright.device.Part
 
getSpeedGrade() - Method in class com.xilinx.rapidwright.device.Grade
Gets just the speed grade portion of the part.
getSpeedGrade() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Grade.Builder
 
getSpeedGrade() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Grade.Reader
 
getSrc() - Method in class com.xilinx.rapidwright.timing.TimingEdge
Gets the first vertex of this edge.
getSrcDatFile() - Method in class com.xilinx.rapidwright.design.Module
Gets the .dat filename used to populate this module
getStartingPoints(List<RouteNode>) - Static method in class com.xilinx.rapidwright.router.UltraScaleClockRouting
 
getStartNode() - Method in class com.xilinx.rapidwright.device.PIP
Creates and returns the start node associated with the start wire of this PIP.
getStartNode(PIP) - Method in class com.xilinx.rapidwright.router.RouteNode
Creates a new node representing the start wire of this PIP
getStartRouteNode() - Method in class com.xilinx.rapidwright.device.PIP
Creates and returns the start node associated with the start wire of this PIP.
getStartWire() - Method in class com.xilinx.rapidwright.device.PIP
Creates a new Wire object to represent the start wire of this PIP.
getStartWire() - Method in class com.xilinx.rapidwright.device.PIPWires
 
getStartWire() - Method in class com.xilinx.rapidwright.device.PseudoPIPHelper
 
getStartWire() - Method in class com.xilinx.rapidwright.device.Wire
Will examine the node this wire belongs to and returns the wire that corresponds to the head or start of the node.
getStartWireIndex() - Method in class com.xilinx.rapidwright.device.PIP
Gets the index of the start wire of this PIP.
getStartWireName() - Method in class com.xilinx.rapidwright.device.PIP
Gets the name of the start wire of the PIP.
getState() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Builder
 
getState() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Reader
 
getState() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Builder
 
getState() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Reader
 
getStates() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
getStates() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Reader
 
getStates() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Builder
 
getStates() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Reader
 
getStaticNet(NetType) - Method in class com.xilinx.rapidwright.design.Design
Get the global static net or based on the NetType.
getStaticNet(NetType, EDIFHierCellInst, EDIFNetlist) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Creates and/or gets the static net (GND/VCC) in the specified cell.
getStaticNet(NetType, EDIFCell, EDIFNetlist) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Creates and/or gets the static net (GND/VCC) in the specified cell.
getStaticNet(NetType, EDIFCell, EDIFNetlist, String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Creates and/or gets the static net (GND/VCC) in the specified cell.
getStatus() - Method in class com.xilinx.rapidwright.util.RouteStatus
 
getStoredMD5FromFile(Path) - Static method in class com.xilinx.rapidwright.util.FileTools
Extracts the md5 checksum from a previously created MD5 sum file.
getString() - Method in enum com.xilinx.rapidwright.design.blocks.UtilizationType
 
getStrList() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
getStrList() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
getStrList() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
getStrList() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Reader
 
getStrList() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
getStrList() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Reader
 
getStubNodes() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Builder
 
getStubNodes() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Reader
 
getStubs() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Builder
 
getStubs() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Reader
 
getSubPBlocks() - Method in class com.xilinx.rapidwright.design.blocks.PBlock
 
getSubTile() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
getSubTile() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Reader
 
getSubTilesPrefices() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Builder
 
getSubTilesPrefices() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Reader
 
getSubTrees() - Method in class com.xilinx.rapidwright.util.RouteStatus
 
getSubType() - Method in class com.xilinx.rapidwright.bitstream.Block
Gets the block's sub type, see BlockSubType TileTypeEnum
getSuccesfulThreads() - Method in class com.xilinx.rapidwright.edif.ParallelEDIFParser
 
getSuggestedTileColor(Tile) - Static method in class com.xilinx.rapidwright.gui.TileColors
Gets a suggested color based on the tile's tileType.
getSupportedDevices() - Static method in class com.xilinx.rapidwright.gui.WidgetMaker
 
getSupportedIOStandards() - Method in class com.xilinx.rapidwright.device.IOBank
Gets the set of supported IOStandards of this IOBank
getSupportedIOStandards() - Method in class com.xilinx.rapidwright.device.Package
Gets the set of all IO Standards supported by this packaged device.
getSwitchMatrixTypes() - Static method in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer
This will return a set of all unique TileTypes which are considered to have a switch matrix or routing switch box in them.
getTableLookup() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
getTableLookup() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Reader
 
getTableLookup() - Method in class com.xilinx.rapidwright.interchange.MacroParamRule
 
getTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Builder
 
getTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Reader
 
getTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Builder
 
getTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Reader
 
getTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
getTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Reader
 
getTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Builder
 
getTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Reader
 
getTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Builder
 
getTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Reader
 
getTags() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Builder
 
getTags() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Reader
 
getTargetPeriod() - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
getTclConstraints() - Method in class com.xilinx.rapidwright.design.blocks.PBlock
 
getTempAnchorSite() - Method in class com.xilinx.rapidwright.placer.blockplacer.HardMacro
 
getTemperatureGrade() - Method in class com.xilinx.rapidwright.device.Grade
Gets just the temperature grade portion of the part.
getTemperatureGrade() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Grade.Builder
 
getTemperatureGrade() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Grade.Reader
 
getTemperatureGradeLetter() - Method in class com.xilinx.rapidwright.device.Part
Note: The temperature grade letter is not available on Series 7 parts and will return an empty String.
getTemplateTile() - Method in class com.xilinx.rapidwright.placer.blockplacer.PathPort
 
getTerminalName() - Method in class com.xilinx.rapidwright.design.PartitionPin
Gets the name of the port or pin
getTextValue() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Builder
 
getTextValue() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Reader
 
getTile() - Method in class com.xilinx.rapidwright.design.Cell
Gets the tile on which this cell is placed.
getTile() - Method in class com.xilinx.rapidwright.design.PartitionPin
Gets the tile of the wire/node associated with this partition pin
getTile() - Method in class com.xilinx.rapidwright.design.SiteInst
Gets the tile object where this instance resides on the chip
getTile() - Method in class com.xilinx.rapidwright.design.SitePinInst
Gets and returns the tile where this pin resides.
getTile(int, int) - Method in class com.xilinx.rapidwright.device.Device
Gets the current tile in the device based on absolute row and column indices
getTile(String) - Method in class com.xilinx.rapidwright.device.Device
This will get a Tile by its name using a HashMap.
getTile(int) - Method in class com.xilinx.rapidwright.device.Device
Each tile in a device can be referenced by a unique integer which is a combination of its row and column index.
getTile(String, int, int) - Method in class com.xilinx.rapidwright.device.Device
This will get a Tile by its root name (as reported by Tile.getRootName() ()}) and X/Y coordinates (as reported by Tile.getTileXCoordinate() / Tile.getTileXCoordinate()) using a HashMap of Arrays.
getTile() - Method in class com.xilinx.rapidwright.device.Node
Gets the tile of the base wire of this node.
getTile() - Method in class com.xilinx.rapidwright.device.PIP
Gets and returns the tile of this PIP.
getTile() - Method in class com.xilinx.rapidwright.device.Site
Gets and returns the Tile where this primitive site resides.
getTile() - Method in class com.xilinx.rapidwright.device.SitePin
Gets the tile where the site pin resides.
getTile() - Method in class com.xilinx.rapidwright.device.Wire
Get the tile of this wire.
getTile() - Method in interface com.xilinx.rapidwright.device.WireInterface
Gets the tile corresponding to this wire/base wire.
getTile() - Method in class com.xilinx.rapidwright.gui.HMTile
 
getTile(double, double) - Method in class com.xilinx.rapidwright.gui.TileScene
Gets the tile based on the x and y coordinates given (typically from mouse input)
getTile(QGraphicsSceneMouseEvent) - Method in class com.xilinx.rapidwright.gui.TileScene
Gets the tile based on the mouse position in the event.
getTile() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.NodeConstantSource.Builder
 
getTile() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.NodeConstantSource.Reader
 
getTile() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Wire.Builder
 
getTile() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Wire.Reader
 
getTile() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNode.Builder
 
getTile() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNode.Reader
 
getTile() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Builder
 
getTile() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Reader
 
getTile() - Method in class com.xilinx.rapidwright.router.RouteNode
 
getTileCharacter(TileTypeEnum, FamilyType) - Static method in class com.xilinx.rapidwright.device.helper.TileColumnPattern
Helper function to help visualize tile column patterns by assigning an ASCII charcter to certain tile types.
getTileColumn() - Method in class com.xilinx.rapidwright.bitstream.Block
Gets the tile column index associated with this configuration block
getTileFootprintSize() - Method in class com.xilinx.rapidwright.design.Module
Returns the total number of tiles occupied by this module (sites and PIPs)
getTileList() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
getTileList() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
getTileManhattanDistance(Tile) - Method in class com.xilinx.rapidwright.device.Tile
Calculates Manhattan distance between this and the provided tile based on row and column indices.
getTileName() - Method in class com.xilinx.rapidwright.design.PartitionPin
Gets the tile name of the wire/node associated with this partition pin
getTileName() - Method in interface com.xilinx.rapidwright.device.WireInterface
Gets the tile name corresponding to this wire/base wire.
getTileNeighbor(int, int) - Method in class com.xilinx.rapidwright.device.Tile
Gets the row,column neighbor tile in the fabric
getTilePatternIndex() - Method in class com.xilinx.rapidwright.device.Tile
Vivado Tcl:
getTilePatternString(FamilyType) - Method in class com.xilinx.rapidwright.device.helper.TileColumnPattern
Gets the short-hand ASCII tile column pattern.
getTilePrototype() - Method in class com.xilinx.rapidwright.device.PseudoPIPHelper
 
getTiles() - Method in class com.xilinx.rapidwright.device.Device
Gets and returns this device's 2D array of tiles that define the layout of the FPGA.
getTilesByRootName(String) - Method in class com.xilinx.rapidwright.device.Device
Get a 2D Array of all Tiles with the specified Root Name (Tile.getRootName()).
getTileSize() - Method in class com.xilinx.rapidwright.gui.TileScene
 
getTileSize() - Method in class com.xilinx.rapidwright.placer.blockplacer.HardMacro
 
getTileTypeCount() - Method in class com.xilinx.rapidwright.device.Device
Gets the total number of unique tile types used in the device.
getTileTypeEnum() - Method in class com.xilinx.rapidwright.bitstream.BlockSubType
Gets the tile type enum associated with this block sub type
getTileTypeEnum() - Method in class com.xilinx.rapidwright.device.PseudoPIPHelper
 
getTileTypeEnum() - Method in class com.xilinx.rapidwright.device.Tile
Gets the type of this tile.
getTileTypeIndex() - Method in class com.xilinx.rapidwright.device.Tile
Gets the tile type index property of the tile.
getTileTypeList() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
getTileTypeList() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
getTileTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
getTileTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Reader
 
getTileWireIndexFromPinName(String) - Method in class com.xilinx.rapidwright.device.Site
Get the wire index connected to the pin provided.
getTileWireNameFromPinName(String) - Method in class com.xilinx.rapidwright.device.Site
Gets and returns the wire name of the given pin name.
getTileXCoordinate() - Method in class com.xilinx.rapidwright.device.Tile
This is the X Coordinate in the tile name (the 0 in INT_X0Y5)
getTileXYNeighbor(int, int) - Method in class com.xilinx.rapidwright.device.Tile
Gets the tile X,Y (not row and column) neighbor in the fabric by offsets.
getTileYCoordinate() - Method in class com.xilinx.rapidwright.device.Tile
This is the Y Coordinate in the tile name (the 5 in INT_X0Y5)
getTime() - Method in class com.xilinx.rapidwright.bitstream.BitstreamHeader
Gets the recorded 'time created' time stamp as stored in the bitstream header
getTime() - Method in class com.xilinx.rapidwright.util.RuntimeTracker
Gets the total time elapsed in nanoseconds.
getTimeStamp() - Static method in class com.xilinx.rapidwright.util.FileTools
Creates a formatted string of the current time in a sortable format ("yyyy mm dd HH mm ss").
getTimeString() - Static method in class com.xilinx.rapidwright.util.FileTools
This method will get and return the current time as a string formatted in the same way used in most Xilinx report and XDL files.
getTiming() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
getTiming() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Reader
 
getTimingEdgeConnectionMap() - Method in class com.xilinx.rapidwright.timing.TimingGraph
 
getTimingEdgeOfPath(List<String>) - Method in class com.xilinx.rapidwright.timing.TimingGraph
Finds the given critical path in the timing graph and reports the delay detail
getTimingEdges() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
getTimingGraph() - Method in class com.xilinx.rapidwright.timing.TimingManager
Gets the TimingGraph object.
getTimingManager() - Method in class com.xilinx.rapidwright.timing.TimingGraph
Returns a reference to the associated TimingManager.
getTimingManager() - Method in class com.xilinx.rapidwright.timing.TimingModel
Gets the TimingManager that created this TimingModel object.
getTimingModel() - Method in class com.xilinx.rapidwright.timing.TimingGraph
Returns a reference to the associated TimingModel.
getTimingModel() - Method in class com.xilinx.rapidwright.timing.TimingManager
Gets the TimingModel object.
getTimingMultiplier() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the timing-driven weighting factor multiplier.
getTimingRequirementPs() - Method in class com.xilinx.rapidwright.timing.TimingManager
 
getTimingWeight() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the timing-driven weighting factor used in the cost function.
getTo() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapEntry.Builder
 
getTo() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapEntry.Reader
 
getTopBot(Tile) - Static method in class com.xilinx.rapidwright.bitstream.FAR
Gets the top bottom bit for a particular tile by looking at the config row and SLR.
getTopBotBit(Series) - Method in class com.xilinx.rapidwright.bitstream.Block
Gets the top/bottom bit of this block's address in the Frame Address Register (FAR).
getTopBotBit() - Method in class com.xilinx.rapidwright.bitstream.FAR
Gets the top/bottom bit from the current address set in this FAR (only relevant for Series 7 devices)
getTopBotBit(int, Series) - Static method in class com.xilinx.rapidwright.bitstream.FAR
For Series 7 devices, it returns the top or bottom bit.
getTopBotBitLSB() - Method in enum com.xilinx.rapidwright.device.Series
 
getTopBotBitMask() - Method in enum com.xilinx.rapidwright.device.Series
 
getTopCell() - Method in class com.xilinx.rapidwright.edif.EDIFDesign
 
getTopCell() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
getTopCellInst() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
getTopEDIFCell() - Method in class com.xilinx.rapidwright.design.Design
Gets the top logical cell from the netlist.
getTopHierCellInst() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
getTopInst() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
getTopInst() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Reader
 
getTopLeftTile() - Method in class com.xilinx.rapidwright.design.blocks.PBlock
 
getTopLeftTile() - Method in class com.xilinx.rapidwright.design.blocks.PBlockRange
 
getTopLevelPortInst() - Method in class com.xilinx.rapidwright.edif.EDIFNet
Gets the first top level port instance from the stored list in the net.
getTopRightTile() - Method in class com.xilinx.rapidwright.design.blocks.PBlock
 
getTopRightTile() - Method in class com.xilinx.rapidwright.design.blocks.PBlockRange
 
getTopRowCRIndex(Device) - Static method in class com.xilinx.rapidwright.bitstream.ConfigRow
For a given device, gets the first clock region row that belongs to the top half of the configuration.
getTopTile() - Method in class com.xilinx.rapidwright.bitstream.Block
Gets the top tile that is covered by this configuration block
getTransform(Series) - Method in enum com.xilinx.rapidwright.design.Unisim
Gets the set of unisims the provided unisim transforms to in a given series.
getTrimmablePIPsFromPins(Net, Collection<SitePinInst>) - Static method in class com.xilinx.rapidwright.design.DesignTools
For the given set of pins, if they were removed, determine which PIPs could be trimmed as they no longer route to any specific sink.
getTyp() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Builder
 
getTyp() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Reader
 
getTyp() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Builder
 
getTyp() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Reader
 
getType() - Method in class com.xilinx.rapidwright.design.Cell
Gets the EDIFCell cell type (Unisim library cell name).
getType() - Method in class com.xilinx.rapidwright.design.Net
Gets and returns the type of the net.
getType() - Method in class com.xilinx.rapidwright.design.Port
 
getType() - Method in class com.xilinx.rapidwright.design.VivadoProp
 
getType() - Method in class com.xilinx.rapidwright.edif.EDIFChange
 
getType() - Method in class com.xilinx.rapidwright.edif.EDIFPropertyValue
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Site.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Site.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Wire.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Wire.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.HashSet.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.HashSet.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.StringRef.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.StringRef.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.HashSet.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.HashSet.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.HashSet.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.HashSet.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.SiteInstance.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.SiteInstance.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef.Builder
 
getType() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef.Reader
 
getType() - Method in class com.xilinx.rapidwright.interchange.RouteBranchNode
 
getType() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Gets the type of a RouteNode object.
getTypeFromArrow(String) - Static method in enum com.xilinx.rapidwright.device.PIPType
Gets the PIP type from the arrow string.
getUltraRams() - Method in class com.xilinx.rapidwright.device.Part
 
getUniqueAddress() - Method in class com.xilinx.rapidwright.device.Tile
Gets a unique integer address for this tile (useful for representing a tile as a single integer).
getUniqueFileName(String) - Static method in class com.xilinx.rapidwright.ipi.BlockCreator
 
getUniqueProcessAndHostID() - Static method in class com.xilinx.rapidwright.util.FileTools
 
getUniqueSuffix() - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
getUniquifier() - Method in class com.xilinx.rapidwright.edif.EDIFTokenizer
 
getUnisimCell(Unisim) - Static method in class com.xilinx.rapidwright.design.Design
Gets the Unisim primitive cell.
getUnisimDataResourceStream() - Static method in class com.xilinx.rapidwright.util.FileTools
Gets an input stream to the file containing valid cell placements of the hdi primitives.
getUnroutedPins(Design) - Static method in class com.xilinx.rapidwright.rwroute.PartialRouter
Return all SitePinInst objects belonging to fully unrouted nets (containing no routing PIPs).
getUnusedFlop(SiteInst, Net) - Method in class com.xilinx.rapidwright.eco.ECOPlacementHelper
Given a SiteInst and a clock net, find an unused flop BEL that can host a new cell.
getUnusedLUT(SiteInst) - Method in class com.xilinx.rapidwright.eco.ECOPlacementHelper
Given a SiteInst, find an unused LUT BEL that can host a new LUT6 cell.
getUpperLeft() - Method in class com.xilinx.rapidwright.device.ClockRegion
Gets the top left most tile that belongs to the clock region
getUpperLeft() - Method in class com.xilinx.rapidwright.device.SLR
Gets the upper left most tile that belongs to this SLR.
getUpperRight() - Method in class com.xilinx.rapidwright.device.ClockRegion
Gets the top right most tile based on the TOP_LEFT_TILE and BOTTOM_RIGHT_TILE designations.
getUpperRightSite() - Method in class com.xilinx.rapidwright.design.blocks.PBlockRange
 
getUpstreamINTTileOfClkIn(SitePinInst) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
 
getUpstreamPathCost() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Gets the upstream path cost.
getURAMTileTypes() - Static method in class com.xilinx.rapidwright.util.Utils
 
getUsedBELPins() - Method in class com.xilinx.rapidwright.device.PseudoPIPHelper
 
getUsedSitePIP(BELPin) - Method in class com.xilinx.rapidwright.design.SiteInst
This method will return the first used SitePIP of the provided BELPin or null if none exists.
getUsedSitePIP(String) - Method in class com.xilinx.rapidwright.design.SiteInst
This method will return the first used SitePIP of the provided BEL name or null if none exists.
getUsedSitePIPs() - Method in class com.xilinx.rapidwright.design.SiteInst
Creates a new list that contains all used sitePIPs that are turned on.
getUsedStaticPIPs(Net) - Method in class com.xilinx.rapidwright.design.ModuleInst
Gets the current set of used GND/VCC PIPs by this ModuleInst.
getUsersConnectionCounts() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Gets a map that records users of a RouteNode instance based on all routed connections.
getValidCellExportOrder(boolean) - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
Creates an ordered list of cells such that each cell that appears in the list only references cells that have already been seen in the list.
getValidCellExportOrder(Set<EDIFCell>, boolean) - Static method in class com.xilinx.rapidwright.edif.EDIFNetlist
Creates an ordered list of cells such that each cell that appears in the list only references cells that have already been seen in the list.
getValidPlacements() - Method in class com.xilinx.rapidwright.gui.ModuleInstanceScene
 
getValidPlacements() - Method in class com.xilinx.rapidwright.placer.blockplacer.HardMacro
 
getValue() - Method in class com.xilinx.rapidwright.design.BELAttr
 
getValue() - Method in class com.xilinx.rapidwright.design.VivadoProp
 
getValue() - Method in class com.xilinx.rapidwright.edif.EDIFPropertyValue
 
getValue() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnection.Builder
 
getValue() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnection.Reader
 
getValue() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Property.Builder
 
getValue() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Property.Reader
 
getVccCellPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
getVccCellPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Reader
 
getVccCellType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
getVccCellType() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Reader
 
getVccNet() - Method in class com.xilinx.rapidwright.design.Design
Get the global power net called
getVccNetName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
getVccNetName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Reader
 
getVcNetAssignmentFile() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getVerbosity() - Method in class com.xilinx.rapidwright.router.SATRouter
 
getVerDistArrayInIntTileGrid() - Method in class com.xilinx.rapidwright.timing.TimingModel
 
getVerK0Coefficients() - Method in class com.xilinx.rapidwright.timing.TimingModel
 
getVerK1Coefficients() - Method in class com.xilinx.rapidwright.timing.TimingModel
 
getVerK2Coefficients() - Method in class com.xilinx.rapidwright.timing.TimingModel
 
getVerLCoefficients() - Method in class com.xilinx.rapidwright.timing.TimingModel
 
getView() - Method in class com.xilinx.rapidwright.edif.EDIFCell
Gets the original view name
getView() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Builder
 
getView() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Reader
 
getView() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Builder
 
getView() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Reader
 
getViewref() - Method in class com.xilinx.rapidwright.edif.EDIFCellInst
 
getVivadoPath() - Static method in class com.xilinx.rapidwright.util.FileTools
Gets the full path to the vivado executable if it is set in the PATH environment variable.
getVivadoPath() - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
getVivadoVersion() - Static method in class com.xilinx.rapidwright.util.FileTools
Gets the current version of Vivado on the system PATH.
getWeight() - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractPath
 
getWidth() - Method in class com.xilinx.rapidwright.design.TileRectangle
 
getWidth() - Method in class com.xilinx.rapidwright.edif.EDIFPort
 
getWidth() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElement.Builder
 
getWidth() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElement.Reader
 
getWidth() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bitstring.Builder
 
getWidth() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bitstring.Reader
 
getWidthOfPortFromName(String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
getWire(String) - Method in class com.xilinx.rapidwright.device.Device
Creates and returns the named wire
getWire() - Method in class com.xilinx.rapidwright.device.Node
Deprecated.
Remove in 2024.1.0 (please use Node.getWireIndex() instead)
getWire() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.NodeConstantSource.Builder
 
getWire() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.NodeConstantSource.Reader
 
getWire() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Wire.Builder
 
getWire() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Wire.Reader
 
getWire() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNode.Builder
 
getWire() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNode.Reader
 
getWire() - Method in class com.xilinx.rapidwright.router.RouteNode
 
getWire0() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
getWire0() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Reader
 
getWire0() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Builder
 
getWire0() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Reader
 
getWire1() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
getWire1() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Reader
 
getWire1() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Builder
 
getWire1() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Reader
 
getWireConnections(int) - Method in class com.xilinx.rapidwright.device.Tile
This will get all of the forward wire connections that can be made from the given wire in this tile.
getWireConnections(String) - Method in class com.xilinx.rapidwright.device.Tile
This will get all of the forward wire connections that can be made from the given wire in this tile.
getWireConnections() - Method in class com.xilinx.rapidwright.router.RouteNode
 
getWireCount() - Method in class com.xilinx.rapidwright.device.Tile
Gets the number of wires within the tile.
getWireDirection() - Method in class com.xilinx.rapidwright.timing.TimingGroup
 
getWireFromSitePin(SitePin) - Method in class com.xilinx.rapidwright.device.Tile
Gets the wire in the this tile that connects to the provided site pin.
getWireIndex() - Method in class com.xilinx.rapidwright.design.PartitionPin
Gets the wire index assigned to this partition pin
getWireIndex() - Method in class com.xilinx.rapidwright.device.Node
Gets the wire index of the base wire of this node.
getWireIndex(String) - Method in class com.xilinx.rapidwright.device.Tile
Gets the ID or Index of the wire in the tile by wire name.
getWireIndex() - Method in class com.xilinx.rapidwright.device.Wire
Gets the wire index of the wire in its tile type.
getWireIndex() - Method in interface com.xilinx.rapidwright.device.WireInterface
Gets the wire index corresponding to this wire/base wire.
getWireIndex(String) - Method in class com.xilinx.rapidwright.router.RouteNode
 
getWireIntentCode(int) - Method in class com.xilinx.rapidwright.device.Tile
Gets the intent code property for the wire.
getWirelengthWeight() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets the wirelength-driven weighting factor used in the cost function.
getWireName() - Method in class com.xilinx.rapidwright.design.PartitionPin
Gets the wire name assigned to this partition pin.
getWireName(int) - Method in class com.xilinx.rapidwright.device.Tile
Looks up the wire index in the tile type's name array.
getWireName() - Method in interface com.xilinx.rapidwright.device.WireInterface
Gets the wire name corresponding to this wire/base wire.
getWireName() - Method in class com.xilinx.rapidwright.router.RouteNode
 
getWireNames() - Method in class com.xilinx.rapidwright.device.Tile
Gets an array of wire names (by wire index) for this tile.
getWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
getWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Node.Builder
 
getWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Node.Reader
 
getWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
getWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Builder
 
getWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Reader
 
getWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireConstantSources.Builder
 
getWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireConstantSources.Reader
 
getWiresInNode(Tile, int) - Static method in class com.xilinx.rapidwright.device.Node
Creates an array of wires that correspond to the node of the provided wire reference.
getWiresInNode() - Method in class com.xilinx.rapidwright.router.RouteNode
 
getWireTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
getWireTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
getWordCount() - Method in class com.xilinx.rapidwright.bitstream.Packet
Gets the number of words in the data/payload portion of the packet as indicated in the header.
getWordCountMask() - Method in enum com.xilinx.rapidwright.bitstream.PacketType
Gets the bit mask for the word count field for packets of this type.
getWords() - Method in class com.xilinx.rapidwright.bitstream.Frame
Gets the linear array of integer words where the bits of the frame data are stored.
getWordSize() - Method in class com.xilinx.rapidwright.bitstream.ConfigArray
Gets the total number of words in the config array.
getWordsPerFrame(Series) - Static method in class com.xilinx.rapidwright.bitstream.Frame
Gets the number of words in a frame of the provided Series
getWorkLibrary() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
getWorstCasePortDelay() - Method in class com.xilinx.rapidwright.design.Port
 
getWorstSlack() - Method in class com.xilinx.rapidwright.timing.TimingGraph
Finds and returns the value of the worst slack from the TimingGraph.
getWriteBandwidth() - Method in class com.xilinx.rapidwright.design.noc.NOCConnection
Gets the write bandwidth in MB/s of this connection.
getWriteLatency() - Method in class com.xilinx.rapidwright.design.noc.NOCConnection
Gets the write latency for this connection in cycles.
getWriteTC() - Method in class com.xilinx.rapidwright.design.noc.NOCMaster
Gets the traffic class for master writes.
getXCenter() - Method in class com.xilinx.rapidwright.rwroute.NetWrapper
 
getXDCCommands() - Method in class com.xilinx.rapidwright.design.blocks.BlockGuide
 
getXDCConstraints(ConstraintGroup) - Method in class com.xilinx.rapidwright.design.Design
Gets a list of strings that correspond to lines of an XDC file for the design.
getXMaxBB() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
getXMinBB() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
getYCenter() - Method in class com.xilinx.rapidwright.rwroute.NetWrapper
 
getYMaxBB() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
getYMinBB() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
getZstdInputStream(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Creates an input stream that decompresses a Zstandard compressed input file.
getZstdOutputStream(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Creates a Zstandard compression stream to an output file.
getZstdOutputStream(OutputStream) - Static method in class com.xilinx.rapidwright.util.FileTools
Wraps the provided output stream with a Zstandard compression stream.
GLOBAL_PBLOCK - Variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
GLOBAL_PBLOCK_OPT - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
GlobalSignalRouting - Class in com.xilinx.rapidwright.rwroute
A collection of methods for routing global signals, i.e.
GlobalSignalRouting() - Constructor for class com.xilinx.rapidwright.rwroute.GlobalSignalRouting
 
GND_NET - Static variable in class com.xilinx.rapidwright.design.Net
Name of the global physical GND net
GND_WIRE_NAME - Static variable in class com.xilinx.rapidwright.design.Net
Name of the common GND source wire name
GndNetName() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName
 
GNL_DESIGN_PATH - Static variable in class com.xilinx.rapidwright.tests.CheckAccuracyUsingGnlDesigns
 
Grade - Class in com.xilinx.rapidwright.device
Object to keep track of different speed and temperature grades for a device.
Grade() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Grade
 
GREEN - Static variable in class com.xilinx.rapidwright.gui.HMTile
 
GroupDelayType - Enum in com.xilinx.rapidwright.timing
The current set of TimingGroup types including types for each of the basic wire length types, bounces, pin types, and other.
GroupDistance - Enum in com.xilinx.rapidwright.timing
Example distance categories that we created to describe some example filtering options for TimingGroups.
GroupWireDirection - Enum in com.xilinx.rapidwright.timing
The direction for the TimingGroup, which is basically either horizontal or vertical.
GUIModuleInst - Class in com.xilinx.rapidwright.gui
 
GUIModuleInst(ModuleInst, TileScene, boolean) - Constructor for class com.xilinx.rapidwright.gui.GUIModuleInst
 
GUIMultiNetLine - Class in com.xilinx.rapidwright.placer.handplacer
 
GUIMultiNetLine(QGraphicsItemInterface, QGraphicsItemInterface) - Constructor for class com.xilinx.rapidwright.placer.handplacer.GUIMultiNetLine
 
GUINetLine - Class in com.xilinx.rapidwright.placer.handplacer
 
GUINetLine(HMTile, HMTile) - Constructor for class com.xilinx.rapidwright.placer.handplacer.GUINetLine
 
GUIPBlock - Class in com.xilinx.rapidwright.design.blocks
WIP.
GUIPBlock(PBlock, TileScene) - Constructor for class com.xilinx.rapidwright.design.blocks.GUIPBlock
 
GUIShapeState - Enum in com.xilinx.rapidwright.gui
 
GZIP_START_BYTES - Static variable in class com.xilinx.rapidwright.util.FileTools
 

H

handlePinRemovals(SitePinInst, Map<Net, Set<SitePinInst>>) - Static method in class com.xilinx.rapidwright.design.DesignTools
Helper method for either removing (and unrouting) a SitePinInst immediately (when deferRemovals is null) or deferring its removal by putting it into the deferRemovals map.
HandPlacer - Class in com.xilinx.rapidwright.placer.handplacer
 
HandPlacer(QWidget, Design) - Constructor for class com.xilinx.rapidwright.placer.handplacer.HandPlacer
 
HandPlacer(QWidget, String, boolean) - Constructor for class com.xilinx.rapidwright.placer.handplacer.HandPlacer
 
HardMacro - Class in com.xilinx.rapidwright.placer.blockplacer
This extends ModuleInst and is used by BlockPlacer and BlockPlacer2 to help calculate system cost.
HardMacro(ModuleInst) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.HardMacro
 
hasAltPinMappings() - Method in class com.xilinx.rapidwright.design.Cell
Checks if this cell has any alternative pin mappings on any pins.
hasAltPinsToPrimaryPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Builder
 
hasAltPinsToPrimaryPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Reader
 
hasAltSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
hasAltSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Reader
 
hasBeenVisited() - Method in class com.xilinx.rapidwright.interchange.RouteBranchNode
 
hasBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Reader
 
hasBelPin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Reader
 
hasBelPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Builder
 
hasBelPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Reader
 
hasBelPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
hasBelPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Reader
 
hasBels() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Builder
 
hasBels() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Reader
 
hasBels() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElement.Builder
 
hasBels() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElement.Reader
 
hasBels() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
hasBels() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Reader
 
hasBels() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeBelEntry.Builder
 
hasBels() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeBelEntry.Reader
 
hasBestConstantExceptions() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
hasBestConstantExceptions() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Reader
 
hasBitSlice() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
hasBitSlice() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Reader
 
hasBitstringValue() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Reader
 
hasBlock(String) - Method in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
hasBRAM() - Method in class com.xilinx.rapidwright.device.helper.TileColumnPattern
 
hasBranches() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.Builder
 
hasBranches() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.Reader
 
hasBus() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Reader
 
hasCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming.Reader
 
hasCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Reader
 
hasCell() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Builder
 
hasCell() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Reader
 
hasCell() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Builder
 
hasCell() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Reader
 
hasCellBelMap() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
hasCellBelMap() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
hasCellConstraints() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Builder
 
hasCellConstraints() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Reader
 
hasCellDecls() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
hasCellDecls() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Reader
 
hasCellInversions() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
hasCellInversions() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
hasCellList() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
hasCellList() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Reader
 
hasCellPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellInversion.Builder
 
hasCellPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellInversion.Reader
 
hasCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Builder
 
hasCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Reader
 
hasCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinitions.Builder
 
hasCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinitions.Reader
 
hasClockRegion(String) - Method in class com.xilinx.rapidwright.device.SLR
Checks if the named clock region exists in this SLR.
hasCommonPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Builder
 
hasCommonPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Reader
 
hasCompatibleInterface(EDIFCell) - Method in class com.xilinx.rapidwright.edif.EDIFCell
Checks if all the port on the provided cell match and are equal to the ports on this cell.
hasConstants() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
hasConstants() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Builder
 
hasConstants() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Reader
 
hasConstraints() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
hasContents() - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
hasCornerModel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Reader
 
hasData() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bitstring.Builder
 
hasData() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bitstring.Reader
 
hasDefault() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
hasDefault() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Reader
 
hasDefault() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition.Reader
 
hasDefaultCellConns() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
hasDefaultCellConns() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Reader
 
hasDelay() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Reader
 
hasDelay() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP.Reader
 
hasDescription() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Builder
 
hasDescription() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Reader
 
hasDescription() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
hasDescription() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Reader
 
hasDSP() - Method in class com.xilinx.rapidwright.device.helper.TileColumnPattern
 
hasEntries() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Builder
 
hasEntries() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Reader
 
hasExceptionMap() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
hasExceptionMap() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
hasFast() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Reader
 
hasField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef.Builder
 
hasField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef.Reader
 
hasField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef.Builder
 
hasField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef.Reader
 
hasField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef.Builder
 
hasField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef.Reader
 
hasField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef.Builder
 
hasField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef.Reader
 
hasField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.StringRef.Builder
 
hasField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.StringRef.Reader
 
hasField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef.Builder
 
hasField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef.Reader
 
hasField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef.Builder
 
hasField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef.Reader
 
hasField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef.Builder
 
hasField() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef.Reader
 
hasField() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef.Builder
 
hasField() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef.Reader
 
hasField() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef.Builder
 
hasField() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef.Reader
 
hasField() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef.Builder
 
hasField() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef.Reader
 
hasField() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef.Builder
 
hasField() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef.Reader
 
hasField() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef.Builder
 
hasField() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef.Reader
 
hasFirstPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Reader
 
hasGapRouting() - Method in class com.xilinx.rapidwright.design.Net
Checks if this net has gap routing, most commonly used to represent clock planning intentions that are stored after placement but before routing a design in Vivado.
hasGrades() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Builder
 
hasGrades() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Reader
 
hashCode() - Method in class com.xilinx.rapidwright.bitstream.BitLocation
Provides a hash composed of the bit index, frame index and inverted flag.
hashCode() - Method in class com.xilinx.rapidwright.bitstream.Packet
Creates a hash from the packets header and data.
hashCode() - Method in class com.xilinx.rapidwright.design.AbstractModuleInst
 
hashCode() - Method in class com.xilinx.rapidwright.design.AltPinMapping
 
hashCode() - Method in class com.xilinx.rapidwright.design.Cell
 
hashCode() - Method in class com.xilinx.rapidwright.design.Module
 
hashCode() - Method in class com.xilinx.rapidwright.design.ModulePlacement
 
hashCode() - Method in class com.xilinx.rapidwright.design.Net
Creates a hashCode for the net based on its name.
hashCode() - Method in class com.xilinx.rapidwright.design.PinSwap
 
hashCode() - Method in class com.xilinx.rapidwright.design.Port
Generates hashCode for this port based on instance name, port name, and pin name.
hashCode() - Method in class com.xilinx.rapidwright.design.RelocatableTileRectangle
 
hashCode() - Method in class com.xilinx.rapidwright.design.SiteInst
Generates a hash code for the instance based on instance name.
hashCode() - Method in class com.xilinx.rapidwright.design.SitePinInst
Generates a hashCode based on the instance, direction and pinName.
hashCode() - Method in class com.xilinx.rapidwright.design.VivadoProp
 
hashCode() - Method in class com.xilinx.rapidwright.device.BEL
 
hashCode() - Method in class com.xilinx.rapidwright.device.BELPin
 
hashCode() - Method in class com.xilinx.rapidwright.device.ClockRegion
 
hashCode() - Method in class com.xilinx.rapidwright.device.Grade
 
hashCode() - Method in class com.xilinx.rapidwright.device.helper.TileSources
 
hashCode() - Method in class com.xilinx.rapidwright.device.IOBank
Gets the hashCode of the IOBank based on the hash of the name
hashCode() - Method in class com.xilinx.rapidwright.device.Node
 
hashCode() - Method in class com.xilinx.rapidwright.device.PackagePin
 
hashCode() - Method in class com.xilinx.rapidwright.device.PIP
 
hashCode() - Method in class com.xilinx.rapidwright.device.PIPWires
 
hashCode() - Method in class com.xilinx.rapidwright.device.PseudoPIPHelper
 
hashCode() - Method in class com.xilinx.rapidwright.device.Site
 
hashCode() - Method in class com.xilinx.rapidwright.device.SitePin
 
hashCode() - Method in class com.xilinx.rapidwright.device.SitePIP
 
hashCode() - Method in class com.xilinx.rapidwright.device.Tile
 
hashCode() - Method in class com.xilinx.rapidwright.device.Wire
 
hashCode() - Method in interface com.xilinx.rapidwright.device.WireInterface
Produces a hash code based on the tile and wire of the object.
hashCode() - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
hashCode() - Method in class com.xilinx.rapidwright.edif.EDIFChange
 
hashCode() - Method in class com.xilinx.rapidwright.edif.EDIFChangeNet
 
hashCode() - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
 
hashCode() - Method in class com.xilinx.rapidwright.edif.EDIFHierNet
 
hashCode() - Method in class com.xilinx.rapidwright.edif.EDIFHierPortInst
 
hashCode() - Method in class com.xilinx.rapidwright.edif.EDIFName
 
hashCode() - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
hashCode() - Method in class com.xilinx.rapidwright.edif.EDIFPropertyValue
 
hashCode() - Method in class com.xilinx.rapidwright.interchange.SiteBELPin
 
hashCode() - Method in class com.xilinx.rapidwright.interchange.SiteSitePIP
 
hashCode() - Method in class com.xilinx.rapidwright.placer.blockplacer.PathPort
 
hashCode() - Method in class com.xilinx.rapidwright.placer.blockplacer.Point
 
hashCode() - Method in class com.xilinx.rapidwright.placer.handplacer.GUIMultiNetLine
 
hashCode() - Method in class com.xilinx.rapidwright.router.RouteNode
 
hashCode() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
hashCode() - Method in class com.xilinx.rapidwright.rwroute.LightweightRouteNode
 
hashCode() - Method in class com.xilinx.rapidwright.rwroute.NetWrapper
 
hashCode() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
 
hashCode() - Method in class com.xilinx.rapidwright.timing.ClkRouteTiming
 
hashCode() - Method in class com.xilinx.rapidwright.timing.DSPTimingData
 
hashCode() - Method in class com.xilinx.rapidwright.timing.TimingEdge
 
hashCode() - Method in class com.xilinx.rapidwright.timing.TimingVertex
This method is used when storing TimingVertices in HashTables and HashMaps.
hashCode() - Method in class com.xilinx.rapidwright.util.Pair
 
hashCode() - Method in class com.xilinx.rapidwright.util.RouteStatus
 
hashCode() - Method in class com.xilinx.rapidwright.util.RuntimeTracker
 
HashPool<E> - Class in com.xilinx.rapidwright.device.helper
This class is a special data structure used for Xilinx FPGA devices to help reduce memory footprint of objects.
HashPool() - Constructor for class com.xilinx.rapidwright.device.helper.HashPool
 
HashSet() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.HashSet
 
HashSet() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.HashSet
 
HashSet() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.HashSet
 
hasImplies() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Builder
 
hasImplies() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Reader
 
hasInitParam() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Builder
 
hasInitParam() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Reader
 
hasInputCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Reader
 
hasInputPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Builder
 
hasInputPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Reader
 
hasInputPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Builder
 
hasInputPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Reader
 
hasInstList() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
hasInstList() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Reader
 
hasInsts() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Builder
 
hasInsts() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Reader
 
hasIntentCode(IntentCode) - Method in class com.xilinx.rapidwright.device.Node
Checks the IntentCode of this node using the base wire as the lookup.
hasInternalCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Reader
 
hasInternalDelay() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Reader
 
hasInverting() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Reader
 
hasInverting() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion.Reader
 
hasLocations() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Builder
 
hasLocations() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Reader
 
hasLutCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.Builder
 
hasLutCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.Reader
 
hasLutDefinitions() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
hasLutElements() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.Builder
 
hasLutElements() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.Reader
 
hasLuts() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements.Builder
 
hasLuts() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements.Reader
 
hasMultiDrivers() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Checks if a RouteNode Object are illegally driven by multiple drivers.
hasName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
hasName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Builder
 
hasName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Reader
 
hasName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Builder
 
hasName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Reader
 
hasName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
hasName() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
hasName() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Reader
 
hasNets() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Builder
 
hasNets() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Reader
 
hasNext() - Method in class com.xilinx.rapidwright.placer.blockplacer.LinearCongruentialGenerator
 
hasNodes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
hasNodes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
hasNodeSources() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
hasNodeSources() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Reader
 
hasNodeTimings() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
hasNodeTimings() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
hasNotInverting() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion.Reader
 
hasNullNet() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Reader
 
hasOtherBels() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
hasOtherBels() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Reader
 
hasOtherCell() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Reader
 
hasOutputCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Reader
 
hasOutputPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Builder
 
hasOutputPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Reader
 
hasOutputResistance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Reader
 
hasPackagePins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Builder
 
hasPackagePins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Reader
 
hasPackages() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
hasPackages() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
hasPanned - Variable in class com.xilinx.rapidwright.gui.TileView
 
hasParameter() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Reader
 
hasParameter() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry.Reader
 
hasParameterDefs() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
hasParameterPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Builder
 
hasParameterPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Reader
 
hasParameters() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellParameterDefinition.Builder
 
hasParameters() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellParameterDefinition.Reader
 
hasParameters() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Builder
 
hasParameters() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Reader
 
hasParametersSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps.Builder
 
hasParametersSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps.Reader
 
hasParamMapping() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Builder
 
hasParamMapping() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Reader
 
hasPart() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
hasPart() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Reader
 
hasPhysCells() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
hasPhysCells() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Reader
 
hasPhysNets() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
hasPhysNets() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Reader
 
hasPin(String) - Method in class com.xilinx.rapidwright.device.Site
Checks if the site pin exists on this site.
hasPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Builder
 
hasPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Reader
 
hasPinFeed() - Method in class com.xilinx.rapidwright.timing.TimingGroup
 
hasPinMap() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
hasPinMap() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Reader
 
hasPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Builder
 
hasPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Reader
 
hasPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps.Builder
 
hasPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps.Reader
 
hasPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnections.Builder
 
hasPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnections.Reader
 
hasPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps.Builder
 
hasPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps.Reader
 
hasPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParentPins.Builder
 
hasPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParentPins.Reader
 
hasPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PseudoCell.Builder
 
hasPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PseudoCell.Reader
 
hasPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
hasPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Reader
 
hasPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteWire.Builder
 
hasPins() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteWire.Reader
 
hasPinsDelay() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Builder
 
hasPinsDelay() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Reader
 
hasPip() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Reader
 
hasPIPs() - Method in class com.xilinx.rapidwright.design.Net
Checks if the net has any PIPs.
hasPips() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Builder
 
hasPips() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Reader
 
hasPIPs() - Method in class com.xilinx.rapidwright.timing.TimingGroup
Returns whether this TimingGroup contains any PIPs.
hasPipTimings() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
hasPipTimings() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
hasPlacements() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
hasPlacements() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Reader
 
hasPort() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Builder
 
hasPort() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Reader
 
hasPortInsts() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Builder
 
hasPortInsts() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Reader
 
hasPortList() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
hasPortList() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Reader
 
hasPorts() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Builder
 
hasPorts() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Reader
 
hasPrimaryPinsToTileWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Builder
 
hasPrimaryPinsToTileWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Reader
 
hasPrimLibs() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
hasProperties() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
hasProperties() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Reader
 
hasPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Reader
 
hasPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Reader
 
hasPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Reader
 
hasPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Reader
 
hasPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Reader
 
hasPseudoCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
hasPseudoCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Reader
 
hasRequires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Builder
 
hasRequires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Reader
 
hasResistance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming.Reader
 
hasResistance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Reader
 
hasRoutedTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Builder
 
hasRoutedTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Reader
 
hasRoutedTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Reader
 
hasRoutedTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Reader
 
hasRoutedTags() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Builder
 
hasRoutedTags() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Reader
 
hasRoutingBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Builder
 
hasRoutingBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Reader
 
hasSecondPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Reader
 
hasSite() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements.Builder
 
hasSite() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements.Reader
 
hasSiteInsts() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
hasSiteInsts() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Reader
 
hasSitePin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Reader
 
hasSitePIP() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Reader
 
hasSitePIPs() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
hasSitePIPs() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Reader
 
hasSites() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Builder
 
hasSites() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Reader
 
hasSiteSources() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
hasSiteSources() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Reader
 
hasSiteTypeList() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
hasSiteTypeList() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
hasSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps.Builder
 
hasSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps.Reader
 
hasSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Builder
 
hasSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Reader
 
hasSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
hasSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Reader
 
hasSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Builder
 
hasSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Reader
 
hasSiteWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
hasSiteWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Reader
 
hasSLICEL() - Method in class com.xilinx.rapidwright.device.helper.TileColumnPattern
 
hasSLICEM() - Method in class com.xilinx.rapidwright.device.helper.TileColumnPattern
 
hasSlow() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Reader
 
hasSources() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Builder
 
hasSources() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Reader
 
hasState() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Builder
 
hasState() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Reader
 
hasState() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Builder
 
hasState() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Reader
 
hasStates() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
hasStates() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Reader
 
hasStates() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Builder
 
hasStates() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Reader
 
hasStrList() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
hasStrList() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
hasStrList() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
hasStrList() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Reader
 
hasStrList() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
hasStrList() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Reader
 
hasStubNodes() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Builder
 
hasStubNodes() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Reader
 
hasStubs() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Builder
 
hasStubs() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Reader
 
hasSubTilesPrefices() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Builder
 
hasSubTilesPrefices() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Reader
 
hasTableLookup() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
hasTableLookup() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Reader
 
hasTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Builder
 
hasTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Reader
 
hasTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Builder
 
hasTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Reader
 
hasTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
hasTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Reader
 
hasTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Builder
 
hasTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Reader
 
hasTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Builder
 
hasTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Reader
 
hasTags() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Builder
 
hasTags() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Reader
 
hasTileColumn(int) - Method in class com.xilinx.rapidwright.device.ClockRegion
Checks if a particular tile column is within the boundaries of the clock region.
hasTileList() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
hasTileList() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
hasTileType(TileTypeEnum) - Method in class com.xilinx.rapidwright.device.ClockRegion
Checks if the clock region has any tiles of a particular type by scanning horizontally across the bottom row.
hasTileTypeList() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
hasTileTypeList() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
hasTileTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
hasTileTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Reader
 
hasTopBotBit() - Method in class com.xilinx.rapidwright.bitstream.FAR
Checks if the FAR has a top/bottom bit field (only relevant for Series 7 devices)
hasTopBotBit() - Method in enum com.xilinx.rapidwright.device.Series
 
hasTopInst() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Reader
 
hasTransform(Series) - Method in enum com.xilinx.rapidwright.design.Unisim
Determines if on given series, if the unisim is transformed to different unisim type(s).
hasURAM() - Method in class com.xilinx.rapidwright.device.helper.TileColumnPattern
 
hasUsedSitePIPs() - Method in class com.xilinx.rapidwright.design.SiteInst
Checks if this site instance has used site PIPs.
hasWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
hasWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Node.Builder
 
hasWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Node.Reader
 
hasWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
hasWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Builder
 
hasWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Reader
 
hasWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireConstantSources.Builder
 
hasWires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireConstantSources.Reader
 
hasWireTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
hasWireTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Reader
 
HELP_OPTION_NAME - Static variable in class com.xilinx.rapidwright.StandaloneEntrypoint
 
HELP_OPTION_NAME - Static variable in class com.xilinx.rapidwright.util.RapidWright
Deprecated.
 
hideGuts() - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
 
hideMyLines() - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
 
highlightQuadTile(int, int) - Method in class com.xilinx.rapidwright.placer.handplacer.FloorPlanScene
 
highlightTile(Tile) - Method in class com.xilinx.rapidwright.device.browser.PBlockGenDebugger
 
highlightTile - Variable in class com.xilinx.rapidwright.device.browser.PBlockGenEmitter
 
highlightTile(Tile) - Method in class com.xilinx.rapidwright.device.browser.PBlockGenScene
 
highlightTile(int, int) - Method in class com.xilinx.rapidwright.placer.handplacer.FloorPlanScene
 
highlightValidPlacements(GUIModuleInst) - Method in class com.xilinx.rapidwright.placer.handplacer.FloorPlanScene
 
highlit - Variable in class com.xilinx.rapidwright.gui.TileScene
The actual square used to highlight a tile
history - Variable in class com.xilinx.rapidwright.router.RouteNode
This is the combined cost of a node when it is used, and used multiple times
hmMoved - Variable in class com.xilinx.rapidwright.placer.handplacer.FloorPlanScene
 
hmMoved(List<GUIModuleInst>, List<QPointF>) - Method in class com.xilinx.rapidwright.placer.handplacer.HandPlacer
 
hmMoved(List<GUIModuleInst>, List<QPointF>) - Method in class com.xilinx.rapidwright.placer.handplacer.ModuleOptimizer
 
HMTile - Class in com.xilinx.rapidwright.gui
 
HMTile(Tile, TileScene, QGraphicsItemInterface, boolean, boolean) - Constructor for class com.xilinx.rapidwright.gui.HMTile
 
HMTile(Tile, TileScene, QGraphicsItemInterface) - Constructor for class com.xilinx.rapidwright.gui.HMTile
 
hoverEnterEvent(QGraphicsSceneHoverEvent) - Method in class com.xilinx.rapidwright.device.browser.WireConnectionLine
 
hoverLeaveEvent(QGraphicsSceneHoverEvent) - Method in class com.xilinx.rapidwright.device.browser.WireConnectionLine
 
hpwl() - Method in class com.xilinx.rapidwright.design.TileRectangle
 

I

IDCode - Class in com.xilinx.rapidwright.bitstream
Keeps track of all device IDCodes.
IDCode() - Constructor for class com.xilinx.rapidwright.bitstream.IDCode
 
identifyClockSource(SitePinInst) - Static method in class com.xilinx.rapidwright.design.DesignTools
Tries to identify the clock pin source for the given user signal output by tracing back to a FF within a SLICE.
identifyMissingPins() - Method in class com.xilinx.rapidwright.router.Router
 
identifyNetType(EDIFHierPortInst) - Static method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
IdentityEnumerator<T> - Class in com.xilinx.rapidwright.interchange
 
IdentityEnumerator() - Constructor for class com.xilinx.rapidwright.interchange.IdentityEnumerator
 
igFilter - Static variable in class com.xilinx.rapidwright.gui.FileFilters
Impl Guide File Filter
ILAInserter - Class in com.xilinx.rapidwright.debug
Example application in RapidWright for adding an ILA core within an implemented (placed and routed) design.
ILAInserter() - Constructor for class com.xilinx.rapidwright.debug.ILAInserter
 
IMAGES_FOLDER_NAME - Static variable in class com.xilinx.rapidwright.util.FileTools
Images source folder name
IMPL - Static variable in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
IMPL_RUN_SCRIPT_NAME - Static variable in class com.xilinx.rapidwright.ipi.BlockCreator
 
implementationIndex - Variable in class com.xilinx.rapidwright.design.ModulePlacement
 
implementBlocks(HashMap<String, String>, String, ImplGuide, Device) - Static method in class com.xilinx.rapidwright.ipi.BlockCreator
 
ImplGuide - Class in com.xilinx.rapidwright.design.blocks
Parser for impl guide files.
ImplGuide() - Constructor for class com.xilinx.rapidwright.design.blocks.ImplGuide
 
implicitlyRoutedPorts - Variable in class com.xilinx.rapidwright.util.ReportRouteStatusResult
 
ImplsInstancePort - Class in com.xilinx.rapidwright.placer.blockplacer
Port of a ImplsPath.
ImplsInstancePort() - Constructor for class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort
 
ImplsInstancePort.InstPort - Class in com.xilinx.rapidwright.placer.blockplacer
Port of a ModuleImplsInst
ImplsInstancePort.SitePinInstPort - Class in com.xilinx.rapidwright.placer.blockplacer
A port that represents a connection to something that is not contained in a module, like IO.
ImplsPath - Class in com.xilinx.rapidwright.placer.blockplacer
Net between Ports of ModuleImplsInsts
ImplsPath(String) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.ImplsPath
 
improveSLRClockingDelay(String, String) - Method in class com.xilinx.rapidwright.design.Net
Optimizes clocking buffers to manage hold delay violations when using both TX and RX Laguna flops.
increaseWeight() - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractPath
 
incrementalClockRouter(Design, Net, Function<Node, NodeStatus>) - Static method in class com.xilinx.rapidwright.router.UltraScaleClockRouting
Routes a partially routed clock.
incrementalClockRouter(Net, List<SitePinInst>, Function<Node, NodeStatus>) - Static method in class com.xilinx.rapidwright.router.UltraScaleClockRouting
Routes a list of unrouted pins from a partially routed clock.
incrementDriver(RouteNode) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Adds a driver to the driver map.
incrementFAR() - Method in class com.xilinx.rapidwright.bitstream.FAR
Gets the next valid FAR address in the device given the current address.
incrementNonHierInstantiationCount() - Method in class com.xilinx.rapidwright.edif.EDIFCell
Atomically increment instance count of this cell.
incrementUser(NetWrapper) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Adds an user NetWrapper instance to the user map, of which a key is a NetWrapper instance and the value is the number of connections that are using a rnode.
incTemplateDataRowIndex(int) - Method in class com.xilinx.rapidwright.util.ReplaceFrameData
Increase row address of the "NO OP" bits by the number of row specified.
incUndoCount() - Method in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
 
init(String, boolean) - Method in class com.xilinx.rapidwright.tests.CodePerfTracker
 
INIT_HEADER - Static variable in class com.xilinx.rapidwright.bitstream.BitstreamHeader
 
initAltPinsToPrimaryPins(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Builder
 
initAltSiteTypes(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
initBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Builder
 
initBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Builder
 
initBelPin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder
 
initBelPins(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Builder
 
initBelPins(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
initBels(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Builder
 
initBels(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElement.Builder
 
initBels(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
initBels(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeBelEntry.Builder
 
initBestConstantExceptions(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
initBitSlice(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
initBitstringValue() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Builder
 
initBranches(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.Builder
 
initBus() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Builder
 
initBusIdx() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Builder
 
initCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming.Builder
 
initCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Builder
 
initCell(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Builder
 
initCell(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Builder
 
initCellBelMap(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
initCellConstraints(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Builder
 
initCellDecls(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
initCellInversions(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
initCellList(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
initCellPins(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellInversion.Builder
 
initCells(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Builder
 
initCells(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinitions.Builder
 
initCommonPins(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Builder
 
initConstants() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
initConstants(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Builder
 
initConstraints() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
initCornerModel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Builder
 
initData(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bitstring.Builder
 
initDefault(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
initDefault() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition.Builder
 
initDefaultCellConns(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
initDelay() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Builder
 
initDelay() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP.Builder
 
initDescription(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Builder
 
initDescription(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
initEntries(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Builder
 
initEquation() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Builder
 
initExceptionMap(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
initFast() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Builder
 
initFast() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Builder
 
initField(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef.Builder
 
initField(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef.Builder
 
initField(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef.Builder
 
initField(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef.Builder
 
initField(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.StringRef.Builder
 
initField(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef.Builder
 
initField(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef.Builder
 
initField(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef.Builder
 
initField(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef.Builder
 
initField(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef.Builder
 
initField(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef.Builder
 
initField(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef.Builder
 
initField(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef.Builder
 
initFirstPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Builder
 
initGndNetName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
initGrades(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Builder
 
initialHistoricalCongestionCost - Static variable in class com.xilinx.rapidwright.rwroute.RouteNode
 
initialize() - Method in class com.xilinx.rapidwright.rwroute.RouteNodeGraph
 
initializeOperators(Design, int) - Static method in class com.xilinx.rapidwright.examples.PolynomialGenerator
 
initializePlacer(boolean) - Method in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
Performs all of the initialization steps to prepare for placement
initializeScene(boolean, boolean) - Method in class com.xilinx.rapidwright.gui.TileScene
Initializes the scene
initializeScene() - Method in class com.xilinx.rapidwright.placer.handplacer.FloorPlanScene
 
initialPresentCongestionCost - Static variable in class com.xilinx.rapidwright.rwroute.RouteNode
 
initImplies(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Builder
 
initInitParam(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Builder
 
initInputCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Builder
 
initInputPins(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Builder
 
initInputPins(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Builder
 
initInstList(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
initInsts(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Builder
 
initInternalCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Builder
 
initInternalDelay() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Builder
 
initInverting() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Builder
 
initInverting() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion.Builder
 
initLength(String) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
Extracts the length value from the INIT String (16, in 16'hA8A2)
initLocations(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Builder
 
initLutCells(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.Builder
 
initLutDefinitions() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
initLutElements(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.Builder
 
initLuts(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements.Builder
 
initMax() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Builder
 
initMin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Builder
 
initModel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Builder
 
initName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
initName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Builder
 
initName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Builder
 
initName(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
initNets(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Builder
 
initNodes(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
initNodeSources(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
initNodeTimings(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
initNotInverting() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion.Builder
 
initNullNet() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
initOtherBels(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
initOtherCell() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Builder
 
initOutputCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Builder
 
initOutputPin(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Builder
 
initOutputResistance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Builder
 
initPackagePins(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Builder
 
initPackages(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
initParameter() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Builder
 
initParameter() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry.Builder
 
initParameterDefs() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
initParameterPins(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Builder
 
initParameters(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellParameterDefinition.Builder
 
initParameters(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Builder
 
initParametersSiteTypes(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps.Builder
 
initParamMapping(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Builder
 
initPart(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
initPhysCells(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
initPhysNets(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
initPin(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Builder
 
initPinMap(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
initPins(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Builder
 
initPins(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps.Builder
 
initPins(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnections.Builder
 
initPins(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps.Builder
 
initPins(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParentPins.Builder
 
initPins(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PseudoCell.Builder
 
initPins(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
initPins(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteWire.Builder
 
initPinsDelay(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Builder
 
initPip() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder
 
initPips(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Builder
 
initPipTimings(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
initPlacements(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
initPort(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Builder
 
initPortInsts(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Builder
 
initPortList(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
initPorts(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Builder
 
initPrimaryPinsToTileWires(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Builder
 
initPrimLibs() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
initProperties(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
initPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
initPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Builder
 
initPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Builder
 
initPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Builder
 
initPropMap() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Builder
 
initPseudoCells(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
initRequires(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Builder
 
initResistance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming.Builder
 
initResistance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Builder
 
initRoutedTag(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Builder
 
initRoutedTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Builder
 
initRoutedTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Builder
 
initRoutedTags(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Builder
 
initRouteSegment() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.Builder
 
initRoutingBel(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Builder
 
initSecondPin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Builder
 
initSite(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements.Builder
 
initSite() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Builder
 
initSiteInsts(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
initSitePin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder
 
initSitePIP() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder
 
initSitePIPs(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
initSites(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Builder
 
initSiteSources(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
initSiteTypeList(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
initSiteTypes(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps.Builder
 
initSiteTypes(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Builder
 
initSiteTypes(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
initSiteTypes(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Builder
 
initSiteWires(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
initSlow() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Builder
 
initSlow() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Builder
 
initSources(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Builder
 
initState(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Builder
 
initState(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Builder
 
initStates(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
initStates(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Builder
 
initStrList(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
initStrList(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
initStrList(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
initStubNodes(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Builder
 
initStubs(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Builder
 
initSubTilesPrefices(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Builder
 
initTableLookup(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
initTag(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Builder
 
initTag(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Builder
 
initTag(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
initTag(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Builder
 
initTag(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Builder
 
initTags(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Builder
 
initTileList(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
initTileTypeList(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
initTileTypes(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
initTopInst() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
initTyp() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Builder
 
initVccNetName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
initWires(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
initWires(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Node.Builder
 
initWires(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Builder
 
initWires(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireConstantSources.Builder
 
initWireTypes(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
INPUT_A_NAME - Static variable in class com.xilinx.rapidwright.examples.ArithmeticGenerator
 
INPUT_B_NAME - Static variable in class com.xilinx.rapidwright.examples.ArithmeticGenerator
 
INPUT_FF_MACRO_SUFFIX - Static variable in class com.xilinx.rapidwright.design.Module
 
INPUT_LUT_MACRO_SUFFIX - Static variable in class com.xilinx.rapidwright.design.Module
 
INPUT_NAME - Static variable in class com.xilinx.rapidwright.examples.PipelineGenerator
 
INPUT_NAME - Static variable in class com.xilinx.rapidwright.examples.PipelineGeneratorWithRouting
 
INPUT_PORT_INNER_SUFFIX - Static variable in class com.xilinx.rapidwright.design.Module
 
INPUT_PORT_SUFFIX - Static variable in class com.xilinx.rapidwright.design.Module
 
InputStreamSupplier - Interface in com.xilinx.rapidwright.util.function
 
INST - Static variable in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
Installer - Class in com.xilinx.rapidwright.util
Installer for RapidWright for development setup.
Installer() - Constructor for class com.xilinx.rapidwright.util.Installer
 
INSTANCE - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
INSTANCE_PORT_IOs - Static variable in class com.xilinx.rapidwright.ipi.BlockStitcher
 
INSTANCEREF - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
InstPort(ModuleImplsInst, String) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort.InstPort
 
InstRef() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef
 
instrumentDesign() - Method in class com.xilinx.rapidwright.debug.DesignInstrumentor
Meat of the instrumentation process.
IntentCode - Enum in com.xilinx.rapidwright.device
List of wire/node annotations available on devices in Vivado.
intentToCategory(IntentCode) - Static method in class com.xilinx.rapidwright.interchange.WireType
 
Interchange - Class in com.xilinx.rapidwright.interchange
 
Interchange() - Constructor for class com.xilinx.rapidwright.interchange.Interchange
 
InterconnectInfo - Class in com.xilinx.rapidwright.timing.delayestimator
Encapsulate interconnect information for a device family.
InterconnectInfo() - Constructor for class com.xilinx.rapidwright.timing.delayestimator.InterconnectInfo
 
InterconnectInfo.Direction - Enum in com.xilinx.rapidwright.timing.delayestimator
Define direction of routing resource in reference to INT tile coordinate.
InterconnectInfo.NodeGroupType - Enum in com.xilinx.rapidwright.timing.delayestimator
Define routing resources.
InterconnectInfo.Orientation - Enum in com.xilinx.rapidwright.timing.delayestimator
Define orientation of routing resource.
INTERFACE - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
internallyRoutedNets - Variable in class com.xilinx.rapidwright.util.ReportRouteStatusResult
 
invertBit(int, int) - Static method in class com.xilinx.rapidwright.design.DesignTools
 
invertLutInput(Cell, String) - Static method in class com.xilinx.rapidwright.design.DesignTools
 
invertPossibleGndPinsToVccPins(Design, List<SitePinInst>) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Inverts all possible GND sink pins to VCC pins.
invokeAll(Runnable...) - Static method in class com.xilinx.rapidwright.util.ParallelismTools
Given a list of tasks-without-return-value, block until all tasks have been completed.
invokeAll(Collection<T>, Function<T, R>) - Static method in class com.xilinx.rapidwright.util.ParallelismTools
Run the specified task on all items
invokeAll(Callable<T>...) - Static method in class com.xilinx.rapidwright.util.ParallelismTools
Given a list of tasks-with-return-value, block until all tasks have been completed.
invokeAllRunnable(Collection<T>, Consumer<T>) - Static method in class com.xilinx.rapidwright.util.ParallelismTools
Run the specified task on all items
invokeFirstSubmitRest(Callable<T>...) - Static method in class com.xilinx.rapidwright.util.ParallelismTools
For a given list of value-returning-tasks, first submit all but the first task to the thread pool to be executed in parallel, then execute that first task with the current thread.
IOBank - Class in com.xilinx.rapidwright.device
 
IOBank() - Constructor for class com.xilinx.rapidwright.device.IOBank
 
IOBankType - Enum in com.xilinx.rapidwright.device
Generated on: Wed May 17 23:03:43 2023 by: com.xilinx.rapidwright.release.SiteAndTileTypeUpdater Enumeration of IOBankType type for all valid devices within Vivado.
iobTypes - Static variable in class com.xilinx.rapidwright.util.Utils
 
IOStandard - Enum in com.xilinx.rapidwright.device
Generated on: Wed May 17 23:03:43 2023 by: com.xilinx.rapidwright.release.SiteAndTileTypeUpdater Enumeration of IOStandard type for all valid devices within Vivado.
IOSTANDARD_PROP - Static variable in class com.xilinx.rapidwright.edif.EDIFNetlist
 
IP_NR_INSTANCES - Variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
IP_NR_INSTANCES_OPT - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
IS_FIXED_INDEX - Static variable in class com.xilinx.rapidwright.design.PartitionPin
 
IS_FIXED_MASK - Static variable in class com.xilinx.rapidwright.design.PartitionPin
 
IS_GZIPPED - Static variable in class com.xilinx.rapidwright.interchange.Interchange
Flag indicating that files are gzipped on output
IS_PACKED - Static variable in class com.xilinx.rapidwright.interchange.Interchange
Flag indicating use of Packed Cap'n Proto Serialization
IS_WIRE_FIXED_INDEX - Static variable in class com.xilinx.rapidwright.design.PartitionPin
 
IS_WIRE_FIXED_MASK - Static variable in class com.xilinx.rapidwright.design.PartitionPin
 
isAbsolute() - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
 
isAccessible(RouteNode, Connection) - Method in class com.xilinx.rapidwright.rwroute.RouteNodeGraph
 
isAlways() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Builder
 
isAlways() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Reader
 
isAnchor() - Method in class com.xilinx.rapidwright.design.SiteInst
A method to determine if this instance corresponds to the anchor instance in a module instance, or is the anchor for a module.
isAnyBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Builder
 
isAnyBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Reader
 
isAnyName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Builder
 
isAnyName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Reader
 
isAnyName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Builder
 
isAnyName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Reader
 
isAutoGenerateReadableEdif() - Static method in class com.xilinx.rapidwright.design.Design
Check if Vivado should be automatically run during Design.readCheckpoint(Path) to generate readable EDIFs.
isAutoIOBuffersSet() - Method in class com.xilinx.rapidwright.design.Design
Checks the setting on this design for its behavior related auto I/O buffer instantiation when the design is loaded in Vivado.
isBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Builder
 
isBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Reader
 
isBELALut(String) - Static method in class com.xilinx.rapidwright.design.DesignTools
 
isBELAReg(String) - Static method in class com.xilinx.rapidwright.design.DesignTools
 
isBELFixed() - Method in class com.xilinx.rapidwright.design.Cell
Checks if the cell is fixed to the BEL
isBelPin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder
 
isBelPin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Reader
 
isBels() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Builder
 
isBels() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Reader
 
isBelTied(BEL) - Method in class com.xilinx.rapidwright.interchange.ConstantDefinitions
 
isBelTiedGnd(BEL) - Method in class com.xilinx.rapidwright.interchange.ConstantDefinitions
 
isBelTiedVcc(BEL) - Method in class com.xilinx.rapidwright.interchange.ConstantDefinitions
 
isBidir() - Method in class com.xilinx.rapidwright.device.BELPin
Is the pin bi-directional?
isBidirectional() - Method in class com.xilinx.rapidwright.device.PIP
Checks if the PIP is bidirectional
isBidirectional() - Method in enum com.xilinx.rapidwright.device.PIPType
Checks if the PIP type is bi-directional
isBit() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Builder
 
isBit() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Reader
 
isBitIndexECC(int) - Method in class com.xilinx.rapidwright.bitstream.Frame
Checks if the current bit index corresponds to an ECC bit
isBitSlice() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
isBitSlice() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Reader
 
isBitstringValue() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Builder
 
isBitstringValue() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Reader
 
isBlackBox() - Method in class com.xilinx.rapidwright.edif.EDIFCellInst
 
isBoolValue() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Builder
 
isBoolValue() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Reader
 
isBRAM(TileTypeEnum) - Static method in class com.xilinx.rapidwright.util.Utils
Determines if the provided tile type contains BRAM primitive sites of any type.
isBRAM(SiteInst) - Static method in class com.xilinx.rapidwright.util.Utils
 
isBus() - Method in class com.xilinx.rapidwright.edif.EDIFPort
 
isBus() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Builder
 
isBus() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Reader
 
isBusRangeEqual(EDIFPort) - Method in class com.xilinx.rapidwright.edif.EDIFPort
 
isCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Builder
 
isCapacitance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Reader
 
isCarry() - Method in class com.xilinx.rapidwright.device.BEL
Checks if this BEL is a Carry block, getBELType().contains("CARRY")
isCell() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Builder
 
isCell() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Reader
 
isCellALUT(Cell) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
Checks if this cell is a LUT (LUT1, LUT2, LUT3,...).
isCellALUT(EDIFCellInst) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
Checks if this cell is a LUT (LUT1, LUT2, LUT3,...).
isCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Builder
 
isCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Reader
 
isCLB(TileTypeEnum) - Static method in class com.xilinx.rapidwright.util.Utils
Determines if the provided tile type contains SLICE primitive sites of any type.
isCLBM(TileTypeEnum) - Static method in class com.xilinx.rapidwright.util.Utils
Determines if the tile type has a SLICEM site type.
isClkPin(SitePinInst) - Static method in class com.xilinx.rapidwright.router.AbstractRouter
 
isClock() - Method in class com.xilinx.rapidwright.device.BELPin
Checks if this pin is a clock pin.
isClockEdge() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Builder
 
isClockEdge() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Reader
 
isClockNet() - Method in class com.xilinx.rapidwright.design.Net
Checks if the net is a clock net.
isClockRegionRange() - Method in class com.xilinx.rapidwright.design.blocks.PBlockRange
 
isClockResource(RouteNode) - Method in class com.xilinx.rapidwright.router.Router
 
isCompatibleSiteType(SiteTypeEnum) - Method in class com.xilinx.rapidwright.device.Site
This method will check if the SiteTypeEnum otherType can be placed at this primitive site.
isCompatibleSiteType(Site) - Method in class com.xilinx.rapidwright.device.Site
This method gets the type of otherSite and calls the other method public boolean isCompatibleSiteType(SiteTypeEnum otherType); See that method for more information.
isCongested() - Method in class com.xilinx.rapidwright.rwroute.Connection
Checks if a connection has any overused rnodes to indicate the congestion status.
isContainRouting() - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
isConventional() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
isConventional() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Reader
 
isCopyingOriginalNetsRouting() - Method in class com.xilinx.rapidwright.design.Design
Gets and returns the flag indicating if the design is keeping a copy of a net's PIPs before it is modified.
isCopyingOriginalSiteInsts() - Method in class com.xilinx.rapidwright.design.Design
Gets and returns the flag indicating if the design is keeping a copy of a SiteInst before it is modified.
isCopyValue() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
isCopyValue() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Reader
 
isCrossSLR() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
isData() - Method in class com.xilinx.rapidwright.device.BELPin
Checks if this pin is a data pin.
isDataBinary(InputStream) - Static method in class com.xilinx.rapidwright.util.FileTools
 
isDDRC() - Method in class com.xilinx.rapidwright.design.noc.NOCClient
Checks if this client is a DDR memory client
isDedicatedSitePin() - Method in class com.xilinx.rapidwright.device.BELPin
Checks if this pin connects to a dedicate route site pin (such as COUT on SLICEs).
isDescendantOf(EDIFHierCellInst) - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
Checks if the provided instance is an ancestor (hierarchical parent) of this instance.
isDesignOutOfContext() - Method in class com.xilinx.rapidwright.design.Design
Checks if the design is labeled as an out-of-context design for Vivado.
isDifferential() - Method in class com.xilinx.rapidwright.device.PackagePin
Checks if this package pin uses differential signaling.
isDirect() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
isDlyPatched() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
isDriverLessNet(Net) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Checks if a Net instance is driver-less.
isDriverLessOrLoadLessNet(Net) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Checks if a Net instance is driver-less or load-less.
isDSP(TileTypeEnum) - Static method in class com.xilinx.rapidwright.util.Utils
Determines if the provided tile type contains DSP primitive sites of any type.
isDSP(SiteInst) - Static method in class com.xilinx.rapidwright.util.Utils
 
isEmpty() - Method in class com.xilinx.rapidwright.design.RelocatableTileRectangle
 
isEmpty() - Method in class com.xilinx.rapidwright.design.SimpleTileRectangle
 
isEmpty() - Method in class com.xilinx.rapidwright.design.TileRectangle
 
isEnable() - Method in class com.xilinx.rapidwright.device.BELPin
Checks if this pin is an enable pin.
isEndPIPWire() - Method in class com.xilinx.rapidwright.device.Wire
Checks if this wire was created from a PIP connection and that it is the end segment of the PIP.
isEndWireNull() - Method in class com.xilinx.rapidwright.device.PIP
Checks if the end wire is null, which should only happen in a PIP marked as a GAP PIP
isEnlargeBoundingBox() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Checks if the bounding boxes of connections would be expanded during routing.
isExcluded(Node) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Checks if a downhill node has been excluded should not be present in the routing graph.
isExecutableOnPath(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Checks if a particular executable is available on the current path
isExitNode(Node) - Static method in class com.xilinx.rapidwright.rwroute.RouteNode
Checks if a node is an exit node of a NodeGroup
isExternalConnectionToCout(SitePinInst, SitePinInst) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Checks if the source-sink connection is an external connection driven by COUT.
isExtPort() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Builder
 
isExtPort() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Reader
 
isExtraType() - Method in enum com.xilinx.rapidwright.design.compare.DesignDiffType
 
isExtraType() - Method in enum com.xilinx.rapidwright.edif.compare.EDIFDiffType
 
isFabricClient() - Method in class com.xilinx.rapidwright.design.noc.NOCClient
Checks if this client is located in the programmable logic fabric.
isFast() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Builder
 
isFast() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Reader
 
isFF() - Method in class com.xilinx.rapidwright.device.BEL
Checks if this BEL is a Flip Flop, same as getBELType().contains("FF")
isFFRoutethruCell() - Method in class com.xilinx.rapidwright.design.Cell
Checks if this cell is a sentinel placeholder object for a FF routethru.
isFileBinary(Path) - Static method in class com.xilinx.rapidwright.util.FileTools
Uses a similar algorithm to diff to determine if the file is a binary file by looking at the first 4k bytes to see if there are any null characters.
isFileBinary(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Uses a similar algorithm to diff to determine if the file is a binary file by looking at the first 4k bytes to see if there are any null characters.
isFileGzipped(Path) - Static method in class com.xilinx.rapidwright.util.FileTools
 
isFileNewer(Path, Path) - Static method in class com.xilinx.rapidwright.util.FileTools
Is fileName1 newer than fileName2?
isFileNewer(String, String) - Static method in class com.xilinx.rapidwright.util.FileTools
Is fileName1 newer than fileName2?
isFinalGroup() - Method in class com.xilinx.rapidwright.timing.TimingGroup
 
isFinished() - Method in class com.xilinx.rapidwright.util.Job
 
isFirstFrameInRow() - Method in class com.xilinx.rapidwright.bitstream.FAR
Checks if the FAR is currently pointing at the first frame in the config row
isFirstParser() - Method in class com.xilinx.rapidwright.edif.ParallelEDIFParserWorker
 
isFixed() - Method in class com.xilinx.rapidwright.design.PartitionPin
Checks if this partition pin is fixed to a specific tile
isFixed() - Method in enum com.xilinx.rapidwright.device.SitePIPStatus
Status marks PIP as fixed?
isFixed - Variable in class com.xilinx.rapidwright.interchange.SiteSitePIP
 
isFullyRouted() - Method in class com.xilinx.rapidwright.util.ReportRouteStatusResult
 
isGapArc() - Method in class com.xilinx.rapidwright.device.PIP
If this PIP(or Node) is driven by a GAP.
isGeneralPurpose() - Method in class com.xilinx.rapidwright.device.PackagePin
Checks if the pin is general purpose
isGlobalClk() - Method in class com.xilinx.rapidwright.device.PackagePin
Checks if the pin is a global clock
isGlobalClkBuffer() - Method in class com.xilinx.rapidwright.device.Site
Checks if this site is a global clk buffer (IS_GLOBAL_CLOCK_BUFFER).
isGlobalClkPad() - Method in class com.xilinx.rapidwright.device.Site
Checks if this site is a global clk pad (IS_GLOBAL_CLOCK_PAD).
isGND() - Method in class com.xilinx.rapidwright.edif.EDIFNet
Checks if this net is a logical GND net.
isGNDNet() - Method in class com.xilinx.rapidwright.design.Net
Checks if this net is the main GND net (GLOBAL_LOGIC0).
isGndSource() - Method in class com.xilinx.rapidwright.device.BEL
Checks if the BEL can provide a GND source on its output.
isGndSource() - Method in class com.xilinx.rapidwright.device.BELPin
Checks if this pin provides a GND
isGrabbed() - Method in class com.xilinx.rapidwright.design.blocks.GUIPBlock
 
isGrabbed() - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
 
isGt(TileTypeEnum) - Static method in class com.xilinx.rapidwright.util.Utils
Determines if the provided tile type contains GTs primitive sites of any type.
isHDIPrimitivesLibrary() - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
Checks if this library is the HDI primitives library or that the name matches EDIFTools.EDIF_LIBRARY_HDI_PRIMITIVES_NAME.
isIdx() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Builder
 
isIdx() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Reader
 
isImplies() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Builder
 
isImplies() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Reader
 
isInConnectionBoundingBox(Connection) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Checks if coordinates of a RouteNode Object is within the connection's bounding box.
isInitialGroup() - Method in class com.xilinx.rapidwright.timing.TimingGroup
 
isInitParam() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Builder
 
isInitParam() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Reader
 
isInput() - Method in class com.xilinx.rapidwright.device.BELPin
Is the pin an input?
isInput() - Method in class com.xilinx.rapidwright.device.SitePin
Checks if the direction of the pin is an input
isInput() - Method in class com.xilinx.rapidwright.edif.EDIFHierPortInst
 
isInput() - Method in class com.xilinx.rapidwright.edif.EDIFPort
 
isInput() - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
isInputPin(int) - Method in class com.xilinx.rapidwright.device.Site
Checks if the provide site pin index is an input
isInputPin(String) - Method in class com.xilinx.rapidwright.device.Site
Determine if the named site pin is an input
isInside(Tile) - Method in class com.xilinx.rapidwright.design.TileRectangle
Check whether a tile is contained in the Rectangle
isInst() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Builder
 
isInst() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Reader
 
isInteger(String) - Static method in class com.xilinx.rapidwright.util.StringTools
 
isInterchangeFile(String) - Static method in class com.xilinx.rapidwright.interchange.Interchange
Checks if the provided file name is a logical or physical FPGA Interchange file.
isInterConnect(TileTypeEnum) - Static method in class com.xilinx.rapidwright.util.Utils
Determines if the provided tile type contains INTERCONNECTs primitive sites of any type.
isInternallyRoutedNet(Net) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Checks if a Net instance is internally routed net.
isInternalToParent() - Method in class com.xilinx.rapidwright.edif.EDIFNet
Checks if the net has all port instances (terminals) within the parent cell.
isIntValue() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Builder
 
isIntValue() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Reader
 
isInvalid() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Builder
 
isInvalid() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Reader
 
isInvalidNode() - Method in class com.xilinx.rapidwright.device.Node
Checks if a node created with the constructor is invalid.
isInverted() - Method in class com.xilinx.rapidwright.bitstream.BitLocation
Checks if the inverted flag is set
isInverting() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Builder
 
isInverting() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Reader
 
isInverts() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Builder
 
isInverts() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Reader
 
isInvOnly() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Builder
 
isInvOnly() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Reader
 
isIOB(SiteInst) - Static method in class com.xilinx.rapidwright.util.Utils
 
isIOB(SiteTypeEnum) - Static method in class com.xilinx.rapidwright.util.Utils
 
isIOStandardSupported(IOStandard) - Method in class com.xilinx.rapidwright.device.IOBank
Checks if the supplied IO Standard is supported by this IOBank.
isIOStandardSupported(String) - Method in class com.xilinx.rapidwright.device.Package
Checks if the supplied IO Standard is supported by this packaged part.
isIOStandardSupported(IOStandard) - Method in class com.xilinx.rapidwright.device.Package
Checks if the supplied IO Standard is supported by this packaged part.
isIsInverting() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Builder
 
isIsInverting() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Reader
 
isLaguna(TileTypeEnum) - Static method in class com.xilinx.rapidwright.util.Utils
 
isLastFrameInRow() - Method in class com.xilinx.rapidwright.bitstream.FAR
Checks if the FAR is currently pointing at the last frame in the config row
isLeafCellOrBlackBox() - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
isLittleEndian() - Method in class com.xilinx.rapidwright.edif.EDIFPort
If this port is a bus, it describes the endian-ness of how the bits in the bus vector are arranged.
isLoadLessNet(Net) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Checks if a Net instance is load-less.
isLocked() - Method in class com.xilinx.rapidwright.design.Cell
Checks if this cell is locked.
isLockedSiteType(SiteTypeEnum) - Static method in class com.xilinx.rapidwright.util.Utils
 
isLogicalDriver() - Method in class com.xilinx.rapidwright.device.PIP
Check if this PIP is a logical driver (nets with multiple site pin sources)
isLong(Node) - Static method in class com.xilinx.rapidwright.timing.delayestimator.DelayEstimatorBase
Check if the node is a long node or not
isLongWire(Tile, int) - Static method in enum com.xilinx.rapidwright.device.IntentCode
 
isLongWire(int) - Static method in enum com.xilinx.rapidwright.device.IntentCode
 
isLowCap() - Method in class com.xilinx.rapidwright.device.PackagePin
Checks if the pin is low capacitance
isLSFAvailable() - Static method in class com.xilinx.rapidwright.util.JobQueue
 
isLUT() - Method in class com.xilinx.rapidwright.device.BEL
Checks if this BEL is a LUT, same as getBELType().contains("LUT")
isLUTInputPin() - Method in class com.xilinx.rapidwright.design.SitePinInst
Checks if this pin connects to a LUT input
isLUTInputPin(SiteInst, String) - Static method in class com.xilinx.rapidwright.design.SitePinInst
Checks a given site and pin name to see if it is a LUT input pin.
isMaskNodesCrossRCLK() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Checks if nodes cross RCLK are masked.
isMasterSLR() - Method in class com.xilinx.rapidwright.device.SLR
Checks if this SLR is the master SLR
isMax() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Builder
 
isMax() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Reader
 
isMemberOfSameModuleInst(SiteInst) - Method in class com.xilinx.rapidwright.design.SiteInst
Checks if the instance inst and this instance are members of the same module instance.
isMin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Builder
 
isMin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Reader
 
isMissingType() - Method in enum com.xilinx.rapidwright.design.compare.DesignDiffType
 
isMissingType() - Method in enum com.xilinx.rapidwright.edif.compare.EDIFDiffType
 
isModuleSiteType(SiteTypeEnum) - Static method in class com.xilinx.rapidwright.util.Utils
 
isMulti() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Builder
 
isMulti() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Reader
 
isName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Builder
 
isName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Reader
 
isName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Builder
 
isName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Reader
 
isName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Builder
 
isName() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Reader
 
isNameType() - Method in enum com.xilinx.rapidwright.design.compare.DesignDiffType
 
isNameType() - Method in enum com.xilinx.rapidwright.edif.compare.EDIFDiffType
 
isNetDrivenByHierPort(Net) - Static method in class com.xilinx.rapidwright.design.DesignTools
Determine if a Net is driven by a hierarchical port, created as part of an out-of-context synthesis flow, for example.
isNetParentContext() - Method in enum com.xilinx.rapidwright.design.compare.DesignDiffType
 
isNoBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Builder
 
isNoBel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Reader
 
isNoClock() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Builder
 
isNoClock() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Reader
 
isNodeTied(Node) - Method in class com.xilinx.rapidwright.interchange.ConstantDefinitions
 
isNodeTiedGnd(Node) - Method in class com.xilinx.rapidwright.interchange.ConstantDefinitions
 
isNodeTiedVcc(Node) - Method in class com.xilinx.rapidwright.interchange.ConstantDefinitions
 
isNodeUsed(Tile, int) - Method in class com.xilinx.rapidwright.router.AbstractRouter
 
isNodeUsed(RouteNode) - Method in class com.xilinx.rapidwright.router.AbstractRouter
 
isNoFast() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Builder
 
isNoFast() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Reader
 
isNoMax() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Builder
 
isNoMax() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Reader
 
isNoMin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Builder
 
isNoMin() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Reader
 
isNoModel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Builder
 
isNoModel() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Reader
 
isNonInverting() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Builder
 
isNonInverting() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Reader
 
isNonNullMismatch() - Method in enum com.xilinx.rapidwright.design.compare.DesignDiffType
 
isNonNullMismatch() - Method in enum com.xilinx.rapidwright.edif.compare.EDIFDiffType
 
isNoSite() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Builder
 
isNoSite() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Reader
 
isNoSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Builder
 
isNoSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Reader
 
isNoSlow() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Builder
 
isNoSlow() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Reader
 
isNoTyp() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Builder
 
isNoTyp() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Reader
 
isNullBEL() - Method in class com.xilinx.rapidwright.design.Cell
Checks if this cell has a null BEL/element.
IsolateLeafClkBuffer - Class in com.xilinx.rapidwright.examples
Created on: May 24, 2019
IsolateLeafClkBuffer() - Constructor for class com.xilinx.rapidwright.examples.IsolateLeafClkBuffer
 
isOptRouteUtilization() - Method in class com.xilinx.rapidwright.router.SATRouter
 
isOtherCell() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Builder
 
isOtherCell() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Reader
 
isOutPin() - Method in class com.xilinx.rapidwright.design.SitePinInst
 
isOutPort() - Method in class com.xilinx.rapidwright.design.Port
Simply looks at the pin of the port to determine its direction.
isOutput() - Method in class com.xilinx.rapidwright.device.BELPin
Is the pin an output?
isOutput() - Method in class com.xilinx.rapidwright.edif.EDIFHierPortInst
 
isOutput() - Method in class com.xilinx.rapidwright.edif.EDIFPort
 
isOutput() - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
isOutputPin(int) - Method in class com.xilinx.rapidwright.device.Site
Checks if the provide site pin index is an output
isOutputPin(String) - Method in class com.xilinx.rapidwright.device.Site
Determine if the named site pin is an output
isOutputPort() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort.InstPort
 
isOutputPort() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort
 
isOutputPort() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort.SitePinInstPort
 
isOverUsed() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Checks if a RouteNode Object has been used by more than one users.
isParameter() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Builder
 
isParameter() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Reader
 
isParameters() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Builder
 
isParameters() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Reader
 
isParamSet(String) - Static method in class com.xilinx.rapidwright.util.Params
Checks if the named RapidWright parameter is set via an environment variable or by a JVM parameter of the same name.
isPartitionGraph() - Method in class com.xilinx.rapidwright.router.SATRouter
 
isPartOfBus() - Method in class com.xilinx.rapidwright.device.BELPin
Checks if this pin is part of a bus.
isPBlockCornerSiteType(SiteTypeEnum) - Static method in class com.xilinx.rapidwright.design.blocks.PBlock
Returns true if the provided site type is referenced in a pblock corner.
isPinFixed(String) - Method in class com.xilinx.rapidwright.design.Cell
Checks if the provided pin is set as fixed.
isPinOnABuf() - Method in class com.xilinx.rapidwright.design.SitePinInst
Checks if the pin is on a BUF site
isPinStateBEL(BELPin) - Static method in class com.xilinx.rapidwright.design.DesignTools
 
isPip() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder
 
isPip() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Reader
 
isPIPFixed() - Method in class com.xilinx.rapidwright.device.PIP
Checks if this PIP (in the context of a routed net) is fixed, such that Vivado cannot re-route it.
isPlaced() - Method in class com.xilinx.rapidwright.design.Cell
Checks if the cell is placed.
isPlaced() - Method in class com.xilinx.rapidwright.design.ModuleInst
 
isPlaced() - Method in class com.xilinx.rapidwright.design.SiteInst
Determines if the instance is placed or unplaced
isPlaced() - Method in class com.xilinx.rapidwright.placer.blockplacer.HardMacro
 
isPlacedOn(SiteTypeEnum, String) - Method in class com.xilinx.rapidwright.design.Cell
Compares the placement of the cell with the provided site type and BEL.
isPlacedOn(String) - Method in class com.xilinx.rapidwright.design.Cell
Checks if this cell is placed
isPort() - Method in class com.xilinx.rapidwright.design.PartitionPin
Checks if this partition pin belongs to a top port on the design
isPreserved(Node) - Method in class com.xilinx.rapidwright.rwroute.RouteNodeGraph
 
isPrimitive() - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
isPrimitiveStaticSource() - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
Checks if this is an output of a GND or VCC primitive cell.
isPrintConnectionSpan() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Gets printConnectionSpan value.
isPseudoCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
isPseudoCells() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Reader
 
isRegionalClkBuffer() - Method in class com.xilinx.rapidwright.device.Site
Checks if this site is a regional clk buffer (IS_REGIONAL_CLOCK_BUFFER).
isRegionalClkPad() - Method in class com.xilinx.rapidwright.device.Site
Checks if this site is a regional clk pad (IS_REGIONAL_CLOCK_PAD).
isReportingCurrOSMemUsage() - Method in class com.xilinx.rapidwright.tests.CodePerfTracker
Checks a flag that indicates current OS memory usage should be reported alongside peak OS memory usage.
isRequires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Builder
 
isRequires() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Reader
 
isReset() - Method in class com.xilinx.rapidwright.device.BELPin
Checks if this pin is a reset pin.
isResistance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Builder
 
isResistance() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Reader
 
isReversed() - Method in class com.xilinx.rapidwright.device.PIP
A small number of PIPs are bi-directional, in those cases, sometimes the the signal flows in the opposite direction (EndWire-->StartWire).
isRoutable(RouteNode, int) - Method in class com.xilinx.rapidwright.router.Router
Looks backwards from an input pin depth number of hops to see if there exists at least one free path.
isRoutableNetWithSourceSinks(Net) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Checks if a Net instance has source and sink SitePinInst instances to be routable.
isRouted() - Method in class com.xilinx.rapidwright.design.noc.NOCConnection
Checks if this connection is routed.
isRouted() - Method in class com.xilinx.rapidwright.design.noc.NOCDesign
Checks if the NOC design is routed.
isRouted() - Method in class com.xilinx.rapidwright.design.SitePinInst
Checks if a site pin flag is marked as routed
isRoutedTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Builder
 
isRoutedTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Reader
 
isRoutedTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Builder
 
isRoutedTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Reader
 
isRoutethru() - Method in class com.xilinx.rapidwright.design.Cell
Checks if this cell is a routethru.
isRouteThru() - Method in class com.xilinx.rapidwright.device.PIP
Flag indicating if this PIP is actually an abstracted route thru on a site.
isRouteThru() - Method in class com.xilinx.rapidwright.device.Wire
Checks if this wire was created from a PIP and participates in a routethru.
isRouteThru(Tile, int, int) - Method in class com.xilinx.rapidwright.router.RouteThruHelper
 
isRouteThru(Node, Node) - Method in class com.xilinx.rapidwright.router.RouteThruHelper
 
isRouteThruPIPAvailable(Design, PIP) - Static method in class com.xilinx.rapidwright.router.RouteThruHelper
Given a routethru PIP check that it is available for use by checking for net and cell collisions on the site it is routing through.
isRouteThruPIPAvailable(Design, WireInterface, WireInterface) - Static method in class com.xilinx.rapidwright.router.RouteThruHelper
Given two WireInterface objects (assumed to make up a routethru PIP) check that this PIP is available for use by checking for net and cell collisions within the site it is routing through.
isRouteThruSitePinAvailable(Design, SitePin) - Static method in class com.xilinx.rapidwright.router.RouteThruHelper
Given a SitePin object, check that it is available for use as part of a route-through.
isSeries7() - Method in class com.xilinx.rapidwright.bitstream.BitstreamHeader
Convenience method to check if this bitstream targets a Series 7 device
isSeries7() - Method in class com.xilinx.rapidwright.device.Part
 
isSet() - Method in class com.xilinx.rapidwright.device.BELPin
Checks if this pin is a set pin.
isSet(String) - Static method in class com.xilinx.rapidwright.util.Params
Checks if a parameter is set by examining the provided value.
isSingleBit() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Builder
 
isSingleBit() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Reader
 
isSite() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Builder
 
isSite() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Reader
 
isSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Builder
 
isSite() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Reader
 
isSiteFixed() - Method in class com.xilinx.rapidwright.design.Cell
Checks if the site is fixed.
isSiteInstParentContext() - Method in enum com.xilinx.rapidwright.design.compare.DesignDiffType
 
isSiteLocked() - Method in class com.xilinx.rapidwright.design.SiteInst
Checks if this site is locked to its site
isSitePin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder
 
isSitePin() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Reader
 
isSitePinInput(String) - Method in class com.xilinx.rapidwright.design.SiteInst
Checks if the provided site pin name is an input.
isSitePinOutput(String) - Method in class com.xilinx.rapidwright.design.SiteInst
Checks if the provided site pin name is an output.
isSitePIP() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder
 
isSitePIP() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Reader
 
isSitePort() - Method in class com.xilinx.rapidwright.device.BELPin
Checks if the pin is a site port pin.
isSiteRange() - Method in class com.xilinx.rapidwright.design.blocks.PBlockRange
 
isSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
isSiteTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Reader
 
isSiteUsed(Site) - Method in class com.xilinx.rapidwright.design.Design
Checks if the site is used in this design.
isSLICE(SiteInst) - Static method in class com.xilinx.rapidwright.util.Utils
 
isSLICE(SiteTypeEnum) - Static method in class com.xilinx.rapidwright.util.Utils
 
isSliceFFClkMod() - Method in class com.xilinx.rapidwright.device.BEL
Checks if this BEL is a Versal SLICE_FF_CLK_MOD, getBELType().equals("SLICE_FF_CLK_MOD")
isSlow() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Builder
 
isSlow() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Reader
 
isSource() - Method in class com.xilinx.rapidwright.interchange.RouteBranchNode
 
isSRIMR() - Method in class com.xilinx.rapidwright.device.BEL
Checks if this BEL is a Versal SR_IMR Register, getBELType().equals("SR_IMR")
isStaticNet() - Method in class com.xilinx.rapidwright.design.Net
Checks if the net is a static net (source is VCC/GND).
isStaticNetType() - Method in enum com.xilinx.rapidwright.design.NetType
 
isStaticSource() - Method in class com.xilinx.rapidwright.device.BEL
Checks if the BEL can provide a GND or VCC source on its output.
isStaticSource() - Method in class com.xilinx.rapidwright.device.BELPin
Checks if this pin provides a static source (GND or VCC)
isStub() - Method in class com.xilinx.rapidwright.device.PIP
 
isSupressWarningsErrors() - Method in class com.xilinx.rapidwright.router.Router
 
isSwitchBox(Tile) - Static method in class com.xilinx.rapidwright.router.Router
 
isSwitchBox(TileTypeEnum) - Static method in class com.xilinx.rapidwright.util.Utils
Determines if the provided tile type contains BRAM primitive sites of any type.
isTableLookup() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
isTableLookup() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Reader
 
isTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Builder
 
isTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Reader
 
isTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Builder
 
isTag() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Reader
 
isTarget() - Method in class com.xilinx.rapidwright.rwroute.LightweightRouteNode
 
isTarget() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Checks if a RouteNode Object is the current routing target.
isTextValue() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Builder
 
isTextValue() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Reader
 
isTied() - Method in class com.xilinx.rapidwright.device.Node
Checks if the node is tied to a static source (GND/VCC).
isTiedToGnd() - Method in class com.xilinx.rapidwright.device.Node
Checks if the node is tied to a static '0' or GND.
isTiedToVcc() - Method in class com.xilinx.rapidwright.device.Node
Checks if the node is tied to a static '1' or VCC.
isTileTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
isTileTypes() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Reader
 
isTimingDriven() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Checks if the router should run in the timing-driven mode.
isTop() - Method in class com.xilinx.rapidwright.bitstream.ConfigRow
Flag indicating if this config row is in the top half of the array.
isTopLevelInst() - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
Checks if this instance is the top level instance of the netlist.
isTopLevelPort() - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
isTrackingCellChanges() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Checks a flag indicating if this netlist is currently tracking changes to its EDIFCells.
isTrackingNetChanges() - Method in class com.xilinx.rapidwright.design.Design
Checks a flag indicating if this design is currently tracking changes to its Nets.
isTrackingSiteInstChanges() - Method in class com.xilinx.rapidwright.design.Design
Checks a flag indicating if this design is currently tracking changes to its SiteInsts.
isTyp() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Builder
 
isTyp() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Reader
 
isTypeOnePacket() - Method in class com.xilinx.rapidwright.bitstream.Packet
Checks if it is a Type 1 packet
isTypeTwoPacket() - Method in class com.xilinx.rapidwright.bitstream.Packet
Checks if it is a Type 2 packet
isUltraScale() - Method in class com.xilinx.rapidwright.device.Part
 
isUltraScaleClockDistribution() - Method in enum com.xilinx.rapidwright.device.IntentCode
 
isUltraScaleClocking(Tile, int) - Static method in enum com.xilinx.rapidwright.device.IntentCode
 
isUltraScaleClocking() - Method in enum com.xilinx.rapidwright.device.IntentCode
 
isUltraScaleClockRouting() - Method in enum com.xilinx.rapidwright.device.IntentCode
 
isUltraScalePlus() - Method in class com.xilinx.rapidwright.device.Part
 
isUltraScaleSliceTop(BEL) - Static method in class com.xilinx.rapidwright.design.DesignTools
Checks the provided BEL's first letter to determine if it is in the top half of a SLICE or bottom half.
isUniquified() - Method in class com.xilinx.rapidwright.edif.EDIFCell
True if this cell is instantiated no more than once.
isUniquified() - Method in class com.xilinx.rapidwright.edif.EDIFCellInst
True if this cell instance is attached to a parent cell, and is the only instantiation of its cell.
isUniquified() - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
True if all cells on this path are the only instantiations of its cell.
isURAM(TileTypeEnum) - Static method in class com.xilinx.rapidwright.util.Utils
 
isURAM(SiteInst) - Static method in class com.xilinx.rapidwright.util.Utils
 
isUseBoundingBox() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Checks if the routing bounding box constraint is used.
isUsed() - Method in enum com.xilinx.rapidwright.device.SitePIPStatus
Status marks PIP as used?
isUsed() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Checks if a RouteNode Object has been used.
isUsedNet() - Method in class com.xilinx.rapidwright.design.Net
Checks if this net is the main Used net (GLOBAL_USEDNET).
isUseImage() - Method in class com.xilinx.rapidwright.gui.TileScene
 
isUseUTurnNodes() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Checks if U-turn nodes at the device boundaries are considered to be used.
isUsingGCCallsToTrackMemory() - Method in class com.xilinx.rapidwright.tests.CodePerfTracker
Gets the flag that determines if System.gc() is called at beginning and end of each segment to more accurately track memory usage.
isValid() - Method in class com.xilinx.rapidwright.timing.DSPTimingData
 
isValidlyPlaced() - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
 
isValidPlacement(Site, Design) - Method in class com.xilinx.rapidwright.design.Module
Checks if the new proposed anchor site on this module is compatible with all of its internal sites.
isValidPlacement(ModuleInstT) - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractOverlapCache
 
isValidPlacement(ModuleInstT) - Method in class com.xilinx.rapidwright.placer.blockplacer.ExhaustiveOverlapCache
 
isValidPlacement(ModuleInstT) - Method in class com.xilinx.rapidwright.placer.blockplacer.RegionBasedOverlapCache
 
isVCC() - Method in class com.xilinx.rapidwright.edif.EDIFNet
Checks if this net is a logical VCC net.
isVCCNet() - Method in class com.xilinx.rapidwright.design.Net
Checks if this net is the main VCC net (GLOBAL_LOGIC1).
isVccSource() - Method in class com.xilinx.rapidwright.device.BEL
Checks if the BEL can provide a VCC source on its output.
isVccSource() - Method in class com.xilinx.rapidwright.device.BELPin
Checks if this pin provides a VCC
isVerbose() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Checks if verbose is enabled.
isVerbose() - Method in class com.xilinx.rapidwright.tests.CodePerfTracker
 
isVersal() - Method in class com.xilinx.rapidwright.device.Part
 
isViewrefType() - Method in enum com.xilinx.rapidwright.edif.compare.EDIFDiffType
 
isVisited(int) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Checks if a RouteNode instance has been visited by a specific integer identifier.
isVivadoCompatible() - Static method in class com.xilinx.rapidwright.util.FileTools
Checks that Vivado is on current PATH and returns true if RapidWright should be compatible with the version of vivado available.
isVivadoOnPath() - Static method in class com.xilinx.rapidwright.util.FileTools
Checks if vivado is available on current PATH (uses unix 'which' or windows 'where').
isVref() - Method in class com.xilinx.rapidwright.device.PackagePin
Checks if the pin is Vref
isVrn() - Method in class com.xilinx.rapidwright.device.PackagePin
Checks if the pin is Vrn
isVrp() - Method in class com.xilinx.rapidwright.device.PackagePin
Checks if the pin is Vrp
isWindows() - Static method in class com.xilinx.rapidwright.util.FileTools
 
isWindows() - Static method in class com.xilinx.rapidwright.util.Installer
 
isWireFixed() - Method in class com.xilinx.rapidwright.design.PartitionPin
Checks if this partition pin is fixed to a specific wire
isWorkLibrary() - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
Checks if this library is the work library or that the name matches EDIFTools.EDIF_LIBRARY_WORK_NAME.
itemChange(QGraphicsItem.GraphicsItemChange, Object) - Method in class com.xilinx.rapidwright.design.blocks.GUIPBlock
 
itemChange(QGraphicsItem.GraphicsItemChange, Object) - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
 
iterator() - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractPath
 

J

JARS_FOLDER_NAME - Static variable in class com.xilinx.rapidwright.util.FileTools
Java library folder name
JARS_ZIP - Static variable in class com.xilinx.rapidwright.util.Installer
 
Job - Class in com.xilinx.rapidwright.util
A parent class for all task jobs types.
Job() - Constructor for class com.xilinx.rapidwright.util.Job
 
JobQueue - Class in com.xilinx.rapidwright.util
Used to manage a batch of task jobs to run in parallel.
JobQueue(boolean) - Constructor for class com.xilinx.rapidwright.util.JobQueue
 
JobQueue() - Constructor for class com.xilinx.rapidwright.util.JobQueue
 
JobState - Enum in com.xilinx.rapidwright.util
 
jobWasSuccessful() - Method in class com.xilinx.rapidwright.util.Job
 
jobWasSuccessful() - Method in class com.xilinx.rapidwright.util.LocalJob
 
jobWasSuccessful() - Method in class com.xilinx.rapidwright.util.LSFJob
 
join(List<? extends Future<? extends T>>) - Static method in class com.xilinx.rapidwright.util.ParallelismTools
For a given List of Futures, block until all are complete.
JOINED - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
joinFirst(Deque<Future<T>>) - Static method in class com.xilinx.rapidwright.util.ParallelismTools
For a given Deque of Futures, block until the first is complete and remove it from the deque.
JUPYTER_JYTHON_KERNEL_NAME - Static variable in class com.xilinx.rapidwright.StandaloneEntrypoint
 
JUPYTER_JYTHON_KERNEL_NAME - Static variable in class com.xilinx.rapidwright.util.RapidWright
Deprecated.
 
JUPYTER_KERNEL_FILENAME - Static variable in class com.xilinx.rapidwright.StandaloneEntrypoint
 
JUPYTER_KERNEL_FILENAME - Static variable in class com.xilinx.rapidwright.util.RapidWright
Deprecated.
 
Jython - Class in com.xilinx.rapidwright.util
Main entry point for the RapidWright Jython (Python) interactive shell.
Jython() - Constructor for class com.xilinx.rapidwright.util.Jython
 

K

KCU105 - Static variable in class com.xilinx.rapidwright.device.Device
The device present on the Xilinx KCU105 development board
KEEP_ZIP_FILES - Static variable in class com.xilinx.rapidwright.util.Installer
 
keyPressEvent(QKeyEvent) - Method in class com.xilinx.rapidwright.examples.tilebrowser.PartTileBrowserView
 
keyPressEvent(QKeyEvent) - Method in class com.xilinx.rapidwright.gui.TileView
This method gets called when a key on the keyboard is pressed.
keySet() - Method in class com.xilinx.rapidwright.interchange.LongEnumerator
 
KEYWORDLEVEL - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
KEYWORDMAP - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
killAllRunningJobs() - Method in class com.xilinx.rapidwright.util.JobQueue
 
killJob() - Method in class com.xilinx.rapidwright.util.Job
 
killJob() - Method in class com.xilinx.rapidwright.util.LocalJob
 
killJob() - Method in class com.xilinx.rapidwright.util.LSFJob
 

L

LAGUNA_FLOPS_PER_SITE - Static variable in class com.xilinx.rapidwright.examples.SLRCrosserGenerator
 
LAGUNA_SITES_PER_TILE - Static variable in class com.xilinx.rapidwright.examples.SLRCrosserGenerator
 
LAGUNA_TILES_PER_FSR - Static variable in class com.xilinx.rapidwright.examples.SLRCrosserGenerator
 
launchJob() - Method in class com.xilinx.rapidwright.util.Job
 
launchJob() - Method in class com.xilinx.rapidwright.util.LocalJob
 
launchJob() - Method in class com.xilinx.rapidwright.util.LSFJob
 
LEFT_PAREN - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
length - Variable in class com.xilinx.rapidwright.rwroute.RouteNodeInfo
 
length() - Method in enum com.xilinx.rapidwright.timing.delayestimator.InterconnectInfo.NodeGroupType
 
lengthOfNameWithoutBus(char[]) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Determines if the char[] ends with the pattern [#:#] where # are positive bus values (e.g., [7:0]) and then returns the length of the string without the bus suffix (if it exists).
lengthOfNameWithoutBus(char[], boolean) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Determines if the char[] ends with the pattern [#:#] where # are positive bus values (e.g., [7:0]) and then returns the length of the string without the bus suffix (if it exists).
Lesson1 - Class in com.xilinx.rapidwright.examples
Example of building a minimum viable design in RapidWright without RTL.
Lesson1() - Constructor for class com.xilinx.rapidwright.examples.Lesson1
 
level - Variable in class com.xilinx.rapidwright.router.RouteNode
This is the number of hops from the original source of the route this node is
LIBRARY - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
LIBRARYREF - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
libraryref - Variable in class com.xilinx.rapidwright.edif.ParallelEDIFParserWorker.CellReferenceData
 
LightweightRouteNode - Class in com.xilinx.rapidwright.rwroute
A lightweight useful class for different simple routing-related scenarios, each LightweightRouteNode Object is associated to a Node Object.
LinearCongruentialGenerator - Class in com.xilinx.rapidwright.placer.blockplacer
This class creates a random permutation of all ints from 0 (inclusive) to a given maximum (exclusive) with no repeats.
LinearCongruentialGenerator(int, Random) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.LinearCongruentialGenerator
 
lineWidth - Variable in class com.xilinx.rapidwright.gui.TileScene
Width of the lines drawn in between tiles when columns/rows are hidden
linkSmallPorts(Map<EDIFCell, Collection<ParallelEDIFParserWorker.LinkPortInstData>>) - Method in class com.xilinx.rapidwright.edif.ParallelEDIFParserWorker
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELInverter
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELPin
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelPinEntry
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellInversion
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellParameterDefinition
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnection
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnections
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.NodeConstantSource
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SitePinConstantExceptions
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElement
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Node
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Grade
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinitions
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapEntry
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParentPins
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PseudoCell
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Site
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeBelEntry
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteWire
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Wire
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireConstantSources
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireType
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.HashSet
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.StringRef
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.HashSet
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bitstring
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bus
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.HashSet
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.MultiCellPinMapping
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBel
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBelPin
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysCell
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNode
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePin
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Property
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.SiteInstance
 
listFactory - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef
 
load(String) - Method in class com.xilinx.rapidwright.util.ReplaceFrameData
Load the "NO OP" frame data from a file.
LOAD_TCL_SUFFIX - Static variable in class com.xilinx.rapidwright.edif.EDIFTools
 
loadEDIFFile(Path) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
loadEDIFFile(Path, int) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
loadEDIFFile(String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
loadEDIFStream(InputStream, long) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
loadFromFile(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Loads a serialized Java object from fileName.
loadInstrumentationDetailsFile(String) - Method in class com.xilinx.rapidwright.debug.DesignInstrumentor
This should load the instrumentation file details into class members.
loadPlacementDirectives(Device, Map<Integer, Module>, String) - Static method in class com.xilinx.rapidwright.examples.StampPlacement
 
loadRouteStatusReport(String) - Method in class com.xilinx.rapidwright.util.CompareRouteStatusReports
 
loadStampDCPs(String) - Static method in class com.xilinx.rapidwright.examples.StampPlacement
 
LocalJob - Class in com.xilinx.rapidwright.util
A batch job to be run locally on the current host.
LocalJob() - Constructor for class com.xilinx.rapidwright.util.LocalJob
 
LOCKED - Static variable in class com.xilinx.rapidwright.interchange.PhysNetlistWriter
 
lockNetlist(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
Locks the logical netlist of the design using the DONT_TOUCH property.
lockNetlist(EDIFNetlist) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Locks the netlist by applying the DONT_TOUCH property to instances and nets so that Vivado won't make changes to it during opt_design, place_design, phys_opt_design, or route_design.
lockPlacement(Design, boolean) - Static method in class com.xilinx.rapidwright.design.DesignTools
Locks or unlocks all placement of a design against changes in Vivado.
lockPlacement(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
Locks placement of cells of a design against changes in Vivado.
lockRouting(Design, boolean) - Static method in class com.xilinx.rapidwright.design.DesignTools
Locks or unlocks all routing of a design (except GND and VCC nets) against changes in Vivado.
lockRouting(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
Locks all routing of a design (except GND and VCC nets) against changes in Vivado.
lockRouting() - Method in class com.xilinx.rapidwright.design.Net
Locks or fixes the routing such that Vivado cannot change the route until the flag is removed.
LOG_NETLIST_EXT - Static variable in class com.xilinx.rapidwright.interchange.Interchange
Standard file extension for a logical netlist in the FPGA Interchange Format
LOGIC_FF_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
LOGIC_LUT_A1_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
LOGIC_LUT_A2_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
LOGIC_LUT_A3_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
LOGIC_LUT_A4_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
LOGIC_LUT_A5_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
LOGIC_LUT_A6_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
LOGICAL_GND_NET_NAME - Static variable in class com.xilinx.rapidwright.edif.EDIFTools
 
LOGICAL_VCC_NET_NAME - Static variable in class com.xilinx.rapidwright.edif.EDIFTools
 
LogicalNetlist - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist
 
LogicalNetlist.CellRef - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.CellRef.Builder - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.CellRef.Factory - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.CellRef.Reader - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.HashSet - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.HashSet.Builder - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.HashSet.Factory - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.HashSet.Reader - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.InstRef - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.InstRef.Builder - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.InstRef.Factory - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.InstRef.Reader - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Bitstring - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Bitstring.Builder - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Bitstring.Factory - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Bitstring.Reader - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Builder - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Bus - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Bus.Builder - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Bus.Factory - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Bus.Reader - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Cell - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Cell.Builder - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Cell.Factory - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Cell.Reader - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.CellDeclaration - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.CellDeclaration.Builder - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.CellDeclaration.Factory - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.CellDeclaration.Reader - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.CellInstance - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.CellInstance.Builder - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.CellInstance.Factory - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.CellInstance.Reader - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Direction - Enum in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Factory - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Net - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Net.Builder - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Net.Factory - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Net.Reader - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Port - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Port.Builder - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Port.Factory - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Port.Reader - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Port.Which - Enum in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.PortInstance - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.PortInstance.Builder - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.PortInstance.BusIdx - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.PortInstance.BusIdx.Builder - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.PortInstance.BusIdx.Factory - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.PortInstance.BusIdx.Reader - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.PortInstance.BusIdx.Which - Enum in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.PortInstance.Factory - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.PortInstance.Reader - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.PortInstance.Which - Enum in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.PropertyMap - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.PropertyMap.Builder - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.PropertyMap.Entry - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.PropertyMap.Entry.Builder - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.PropertyMap.Entry.Factory - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.PropertyMap.Entry.Reader - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.PropertyMap.Entry.Which - Enum in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.PropertyMap.Factory - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.PropertyMap.Reader - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Netlist.Reader - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.PortRef - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.PortRef.Builder - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.PortRef.Factory - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.PortRef.Reader - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.Schemas - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.StringRef - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.StringRef.Builder - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.StringRef.Factory - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlist.StringRef.Reader - Class in com.xilinx.rapidwright.interchange
 
LogicalNetlistExample - Class in com.xilinx.rapidwright.interchange
Example reader/writer for Cap'n Proto serialization for a logical netlist.
LogicalNetlistExample() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlistExample
 
LogicalNetlistToEdif - Class in com.xilinx.rapidwright.interchange
Example code that performs conversion from a LogicalNetlist to EDIF.
LogicalNetlistToEdif() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlistToEdif
 
logicalNets - Variable in class com.xilinx.rapidwright.util.ReportRouteStatusResult
 
LogNetlistReader - Class in com.xilinx.rapidwright.interchange
 
LogNetlistReader() - Constructor for class com.xilinx.rapidwright.interchange.LogNetlistReader
 
LogNetlistReader(StringEnumerator) - Constructor for class com.xilinx.rapidwright.interchange.LogNetlistReader
 
LogNetlistReader(StringEnumerator, Map<String, String>) - Constructor for class com.xilinx.rapidwright.interchange.LogNetlistReader
 
LogNetlistWriter - Class in com.xilinx.rapidwright.interchange
 
LONG_LINE_THRESHOLD - Static variable in class com.xilinx.rapidwright.router.Router
 
LongEnumerator - Class in com.xilinx.rapidwright.interchange
 
LongEnumerator() - Constructor for class com.xilinx.rapidwright.interchange.LongEnumerator
 
longestCommonPrefix(String, String) - Static method in class com.xilinx.rapidwright.util.StringTools
Get the longest common prefix between two strings starting at the beginning.
lowerCaseFirstLetter(String) - Static method in class com.xilinx.rapidwright.util.StringTools
 
lowerToModules(Design, CodePerfTracker) - Method in class com.xilinx.rapidwright.examples.PicoBlazeArray.PicoBlazeArrayCreator
 
LSF_AVAILABLE_OPTION - Static variable in class com.xilinx.rapidwright.util.JobQueue
 
LSF_PROJECT - Static variable in class com.xilinx.rapidwright.util.LSFJob
 
LSF_QUEUE - Static variable in class com.xilinx.rapidwright.util.LSFJob
 
LSF_QUEUE_OPTION - Static variable in class com.xilinx.rapidwright.util.JobQueue
 
LSF_RESOURCE - Static variable in class com.xilinx.rapidwright.util.LSFJob
 
LSF_RESOURCE_OPTION - Static variable in class com.xilinx.rapidwright.util.JobQueue
 
LSFJob - Class in com.xilinx.rapidwright.util
A batch job to be run on an LSF cluster.
LSFJob() - Constructor for class com.xilinx.rapidwright.util.LSFJob
 
LUT_INIT - Static variable in class com.xilinx.rapidwright.design.tools.LUTTools
 
LutBel() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel
 
LutCell() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell
 
LutDefinitions() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions
 
LutElement() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElement
 
LutElements() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements
 
LUTEquationEvaluator - Class in com.xilinx.rapidwright.design.tools
Helper class to parse LUT equations.
LUTEquationEvaluator(String) - Constructor for class com.xilinx.rapidwright.design.tools.LUTEquationEvaluator
 
lutLetters - Static variable in class com.xilinx.rapidwright.design.tools.LUTTools
 
lutMap - Static variable in class com.xilinx.rapidwright.design.tools.LUTTools
 
LUTS_PER_CLE - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
LUTTools - Class in com.xilinx.rapidwright.design.tools
A collection of tools to help modify LUTs.
LUTTools() - Constructor for class com.xilinx.rapidwright.design.tools.LUTTools
 

M

MACRO_PRIMITIVES_LIB - Static variable in class com.xilinx.rapidwright.edif.EDIFTools
 
macroCollapseExceptionMap - Static variable in class com.xilinx.rapidwright.edif.EDIFNetlist
Reverse map that stores macro to prim collapse conditional based on IOStandards (Macro to Prim (if set IOStandard is in set))
macroExpandExceptionMap - Static variable in class com.xilinx.rapidwright.edif.EDIFNetlist
Map that stores prim to macro expansions conditional based on IOStandards (Start Prim to End Macro (if set IOStandard is in set))
macroListDockWidget - Variable in class com.xilinx.rapidwright.placer.handplacer.HandPlacer
 
MacroParamMappingRules - Class in com.xilinx.rapidwright.interchange
Generated by com.xilinx.rapidwright.interchange.PopulateMacroParamRules on Fri Jul 30 18:54:58 2021 RapidWright version: 2020.2.7 Vivado version: v2020.2
MacroParamMappingRules() - Constructor for class com.xilinx.rapidwright.interchange.MacroParamMappingRules
 
MacroParamRule - Class in com.xilinx.rapidwright.interchange
 
MacroParamRule(String, String, String) - Constructor for class com.xilinx.rapidwright.interchange.MacroParamRule
 
MacroParamTableEntry - Class in com.xilinx.rapidwright.interchange
 
MacroParamTableEntry(String, String) - Constructor for class com.xilinx.rapidwright.interchange.MacroParamTableEntry
 
macroRules - Static variable in class com.xilinx.rapidwright.interchange.MacroParamMappingRules
 
main(String[]) - Static method in class com.xilinx.rapidwright.debug.DesignInstrumentor
This is the main entry point for Vivado design debug instrumentation.
main(String[]) - Static method in class com.xilinx.rapidwright.debug.ILAInserter
 
main(String[]) - Static method in class com.xilinx.rapidwright.debug.ProbeRouter
 
main(String[]) - Static method in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
main(String[]) - Static method in class com.xilinx.rapidwright.design.blocks.PBlock
 
main(String[]) - Static method in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
main(String[]) - Static method in class com.xilinx.rapidwright.design.CellPinStaticDefaults
 
main(String[]) - Static method in class com.xilinx.rapidwright.design.DRC
 
main(String[]) - Static method in class com.xilinx.rapidwright.design.merge.MergeDesigns
Searches recursively in the given input directory for DCPs and presents the set of those DCPs to MergeDesigns.mergeDesigns() with the default set of options.
main(String[]) - Static method in class com.xilinx.rapidwright.design.MetadataParser
 
main(String[]) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
 
main(String[]) - Static method in class com.xilinx.rapidwright.device.browser.DeviceBrowser
Main method setting up the Qt environment for the program to run.
main(String[]) - Static method in class com.xilinx.rapidwright.device.browser.PBlockGenDebugger
 
main(String[]) - Static method in class com.xilinx.rapidwright.device.EnumerateIOExpansions
 
main(String[]) - Static method in class com.xilinx.rapidwright.device.helper.TileColumnPattern
 
main(String[]) - Static method in enum com.xilinx.rapidwright.device.IntentCode
 
main(String[]) - Static method in class com.xilinx.rapidwright.device.PseudoPIPHelper
 
main(String[]) - Static method in class com.xilinx.rapidwright.edif.compare.EDIFNetlistComparator
 
main(String[]) - Static method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
main(String[]) - Static method in class com.xilinx.rapidwright.edif.EDIFParser
 
main(String[]) - Static method in class com.xilinx.rapidwright.edif.EDIFPropertyValue
 
main(String[]) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
main(String[]) - Static method in class com.xilinx.rapidwright.examples.AddSubGenerator
 
main(String[]) - Static method in class com.xilinx.rapidwright.examples.CopyMMCMCell
 
main(String[]) - Static method in class com.xilinx.rapidwright.examples.CounterGenerator
Implements a parameterizable counter for an UltraScale/UltraScale+ device and routes the counter using RWRoute.
main(String[]) - Static method in class com.xilinx.rapidwright.examples.CustomRouting
 
main(String[]) - Static method in class com.xilinx.rapidwright.examples.DecomposeLUT
 
main(String[]) - Static method in class com.xilinx.rapidwright.examples.ExampleNetlistCreation
 
main(String[]) - Static method in class com.xilinx.rapidwright.examples.FindBlackBoxes
Reads a DCP and prints out hierarchical path to each black box cell instance found
main(String[]) - Static method in class com.xilinx.rapidwright.examples.IsolateLeafClkBuffer
 
main(String[]) - Static method in class com.xilinx.rapidwright.examples.Lesson1
 
main(String[]) - Static method in class com.xilinx.rapidwright.examples.MultGenerator
 
main(String[]) - Static method in class com.xilinx.rapidwright.examples.PicoBlazeArray
Part of an example tutorial of how to build an array of picoblaze modules.
main(String[]) - Static method in class com.xilinx.rapidwright.examples.PipelineGenerator
 
main(String[]) - Static method in class com.xilinx.rapidwright.examples.PipelineGeneratorWithRouting
 
main(String[]) - Static method in class com.xilinx.rapidwright.examples.PolynomialGenerator
 
main(String[]) - Static method in class com.xilinx.rapidwright.examples.PrintEDIFInstances
 
main(String[]) - Static method in class com.xilinx.rapidwright.examples.RelocateHierarchy
 
main(String[]) - Static method in class com.xilinx.rapidwright.examples.ReportTimingExample
 
main(String[]) - Static method in class com.xilinx.rapidwright.examples.RunSATRouterExample
 
main(String[]) - Static method in class com.xilinx.rapidwright.examples.SLRCrosserGenerator
 
main(String[]) - Static method in class com.xilinx.rapidwright.examples.StampPlacement
 
main(String[]) - Static method in class com.xilinx.rapidwright.examples.tilebrowser.PartTileBrowser
Main method
main(String[]) - Static method in class com.xilinx.rapidwright.examples.UpdateRoutingUsingSATRouter
 
main(String[]) - Static method in class com.xilinx.rapidwright.interchange.DcpToInterchange
 
main(String[]) - Static method in class com.xilinx.rapidwright.interchange.DeviceResourcesExample
 
main(String[]) - Static method in class com.xilinx.rapidwright.interchange.EdifToLogicalNetlist
 
main(String[]) - Static method in class com.xilinx.rapidwright.interchange.EnumerateCellBelMapping
 
main(String[]) - Static method in class com.xilinx.rapidwright.interchange.GenerateInterchangeDevices
 
main(String[]) - Static method in class com.xilinx.rapidwright.interchange.Interchange
 
main(String[]) - Static method in class com.xilinx.rapidwright.interchange.LogicalNetlistExample
 
main(String[]) - Static method in class com.xilinx.rapidwright.interchange.LogicalNetlistToEdif
 
main(String[]) - Static method in class com.xilinx.rapidwright.interchange.PhysicalNetlistExample
 
main(String[]) - Static method in class com.xilinx.rapidwright.interchange.PhysicalNetlistToDcp
 
main(String[]) - Static method in class com.xilinx.rapidwright.interchange.PopulateMacroParamRules
 
main(String[]) - Static method in class com.xilinx.rapidwright.ipi.BlockCreator
 
main(String[]) - Static method in class com.xilinx.rapidwright.ipi.BlockStitcher
 
main(String[]) - Static method in class com.xilinx.rapidwright.ipi.BlockUpdater
 
main(String[]) - Static method in class com.xilinx.rapidwright.MainEntrypoint
 
main(String[]) - Static method in class com.xilinx.rapidwright.placer.blockplacer.SmallestEnclosingCircle
Command line interface for debug.
main(String[]) - Static method in class com.xilinx.rapidwright.placer.handplacer.HandPlacer
 
main(String[]) - Static method in class com.xilinx.rapidwright.placer.handplacer.ModuleOptimizer
 
main(String[]) - Static method in class com.xilinx.rapidwright.router.Router
 
main(String[]) - Static method in class com.xilinx.rapidwright.router.RouteThruHelper
 
main(String[]) - Static method in class com.xilinx.rapidwright.rwroute.PartialRouter
The main interface of PartialRouter that reads in a Design checkpoint, and parses the arguments for the RWRouteConfig object of the router.
main(String[]) - Static method in class com.xilinx.rapidwright.rwroute.RWRoute
The main interface of RWRoute that reads in a Design design (DCP or FPGA Interchange), and parses the arguments for the RWRouteConfig object of the router.
main(String[]) - Static method in class com.xilinx.rapidwright.rwroute.TimingAndWirelengthReport
 
main(String[]) - Static method in class com.xilinx.rapidwright.StandaloneEntrypoint
 
main(String[]) - Static method in class com.xilinx.rapidwright.tests.CheckAccuracyUsingGnlDesigns
 
main(String[]) - Static method in class com.xilinx.rapidwright.tests.DeviceLoader
 
main(String[]) - Static method in class com.xilinx.rapidwright.tests.PBlockGenTester
 
main(String[]) - Static method in class com.xilinx.rapidwright.tests.PinMapTester
 
main(String[]) - Static method in class com.xilinx.rapidwright.tests.ReportDevicePerformance
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.BlackboxPopulator
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.BrowseDevice
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.CompareRouteStatusReports
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.DesignImplementationDiff
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.FileTools
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.Installer
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.JobQueue
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.Jython
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.MakeBlackBox
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.PartPrinter
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.performance_evaluation.PerformanceEvaluation
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.RapidWright
Deprecated.
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.RelocateBitstreamByRow
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.ReplaceEDIFInDCP
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.ReplaceFrameData
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.rwroute.BufferTapTool
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.rwroute.SourceToSinkINTTileDelayWriter
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.StringTools
 
main(String[]) - Static method in class com.xilinx.rapidwright.util.Unzip
 
main_testing(String[]) - Static method in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
MainEntrypoint - Class in com.xilinx.rapidwright
 
MainEntrypoint() - Constructor for class com.xilinx.rapidwright.MainEntrypoint
 
makeBlackBox(Design, String) - Static method in class com.xilinx.rapidwright.design.DesignTools
Turns the cell named hierarchicalCellName into a blackbox and removes any associated placement and routing information associated with that instance.
makeBlackBox(Design, EDIFHierCellInst) - Static method in class com.xilinx.rapidwright.design.DesignTools
Turns the cell named hierarchicalCell into a blackbox and removes any associated placement and routing information associated with that instance.
MakeBlackBox - Class in com.xilinx.rapidwright.util
Command line wrapper to black box one or more cell instances in a design.
MakeBlackBox() - Constructor for class com.xilinx.rapidwright.util.MakeBlackBox
 
makeCamelCase(String) - Static method in class com.xilinx.rapidwright.util.StringTools
 
makeDir(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Creates a directory in the current path called dirName.
makeDirs(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Creates a directory in the current path called dirName.
makeImplsCreator() - Static method in class com.xilinx.rapidwright.examples.PicoBlazeArray
 
makeModuleCreator() - Static method in class com.xilinx.rapidwright.examples.PicoBlazeArray
 
makeNameEDIFCompatible(String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Tries to make a string EDIF compatible by replacing invalid characters with an underscore.
makePhysNetNamesConsistent(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
Make all of a Design's physical Net objects consistent with its logical (EDIF) netlist.
makePrimitive() - Method in class com.xilinx.rapidwright.edif.EDIFCell
Deletes internal representation.
makeUpperCamelCase(String) - Static method in class com.xilinx.rapidwright.util.StringTools
 
makeWhiteSpace(int) - Static method in class com.xilinx.rapidwright.util.StringTools
Creates a String of spaces of the specified length
markAndUpdateNetPIPsAsUsed() - Method in class com.xilinx.rapidwright.router.Router
 
markExistingRouteResourcesUsed() - Method in class com.xilinx.rapidwright.router.Router
This router will preserve all existing routes (even partials) intact.
markNodeUsed(RouteNode) - Method in class com.xilinx.rapidwright.router.AbstractRouter
 
markPIPsAsUsed(ArrayList<PIP>) - Method in class com.xilinx.rapidwright.router.AbstractRouter
Examines the pips in the list and marks all of the resources as used.
matches(String, String) - Method in class com.xilinx.rapidwright.device.Wire
Checks if the given tile and wire names match this Wire object.
matches(String, String) - Method in class com.xilinx.rapidwright.router.RouteNode
Quick check to see if the tile/wire combination match this node.
Max() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max
 
MAX_COLUMNS - Variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
MAX_LOCAL_CONCURRENT_JOBS - Static variable in class com.xilinx.rapidwright.util.JobQueue
 
MAX_LSF_CONCURRENT_JOBS - Static variable in class com.xilinx.rapidwright.util.JobQueue
 
MAX_LUT_SIZE - Static variable in class com.xilinx.rapidwright.design.tools.LUTTools
 
MAX_PATTERN_LENGTH - Static variable in class com.xilinx.rapidwright.device.helper.TileColumnPattern
 
maxParallelism() - Static method in class com.xilinx.rapidwright.util.ParallelismTools
The number of parallel threads we want to use
maybeGetIndex(T) - Method in class com.xilinx.rapidwright.interchange.IdentityEnumerator
 
maybeGetIndex(Long) - Method in class com.xilinx.rapidwright.interchange.LongEnumerator
 
maybeGetIndex(String) - Method in class com.xilinx.rapidwright.interchange.StringEnumerator
 
MD5_DATA_FILE_SUFFIX - Static variable in class com.xilinx.rapidwright.util.FileTools
Suffix added to data file names to capture md5 status
MD5_FILE_NAME - Static variable in class com.xilinx.rapidwright.util.Installer
 
MEMBER - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
mergeCellInsts(EDIFCellInst, EDIFCellInst) - Method in class com.xilinx.rapidwright.design.merge.AbstractDesignMerger
 
mergeCellInsts(EDIFCellInst, EDIFCellInst) - Method in class com.xilinx.rapidwright.design.merge.DefaultDesignMerger
 
MergeDesigns - Class in com.xilinx.rapidwright.design.merge
Merges two or more designs into a single Design.
MergeDesigns() - Constructor for class com.xilinx.rapidwright.design.merge.MergeDesigns
 
mergeDesigns(Design...) - Static method in class com.xilinx.rapidwright.design.merge.MergeDesigns
 
mergeDesigns(Supplier<AbstractDesignMerger>, Design...) - Static method in class com.xilinx.rapidwright.design.merge.MergeDesigns
Merges two or more designs together into a single design.
mergeLogicalNets(EDIFNet, EDIFNet) - Method in class com.xilinx.rapidwright.design.merge.AbstractDesignMerger
 
mergeLogicalNets(EDIFNet, EDIFNet) - Method in class com.xilinx.rapidwright.design.merge.DefaultDesignMerger
 
mergePhysicalNets(Net, Net) - Method in class com.xilinx.rapidwright.design.merge.AbstractDesignMerger
 
mergePhysicalNets(Net, Net) - Method in class com.xilinx.rapidwright.design.merge.DefaultDesignMerger
 
mergePorts(EDIFPort, EDIFPort) - Method in class com.xilinx.rapidwright.design.merge.AbstractDesignMerger
 
mergePorts(EDIFPort, EDIFPort) - Method in class com.xilinx.rapidwright.design.merge.DefaultDesignMerger
 
mergeSiteInsts(SiteInst, SiteInst) - Method in class com.xilinx.rapidwright.design.merge.AbstractDesignMerger
 
mergeSiteInsts(SiteInst, SiteInst) - Method in class com.xilinx.rapidwright.design.merge.DefaultDesignMerger
 
MessageGenerator - Class in com.xilinx.rapidwright.util
Common class for generating messages.
MessageGenerator() - Constructor for class com.xilinx.rapidwright.util.MessageGenerator
 
METADATA_FILE_SUFFIX - Static variable in class com.xilinx.rapidwright.ipi.BlockCreator
 
MetadataParser - Class in com.xilinx.rapidwright.design
Parses metadata file generated by the Tcl command in rapidwright.tcl/generate_metadata.
MetadataParser(Device, Module) - Constructor for class com.xilinx.rapidwright.design.MetadataParser
 
METAX - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
mhsFilter - Static variable in class com.xilinx.rapidwright.gui.FileFilters
EDK Microprocessor Hardware Specification File Filter
MID_MAX - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
MID_MIN - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
migrateCellAndSubCells(EDIFCell) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
This moves the cell and all of its descendants into this netlist.
migrateCellAndSubCells(EDIFCell, boolean) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
migrateToWorkLibrary(String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Migrates all cells in the provided library into the standard work library.
Min() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min
 
Model() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model
 
Module - Class in com.xilinx.rapidwright.design
Represents a collection of SiteInst and Net objects that can be instantiated as a ModuleInst.
Module() - Constructor for class com.xilinx.rapidwright.design.Module
Empty constructor, strings are null, everything else is initialized
Module(Design, String) - Constructor for class com.xilinx.rapidwright.design.Module
Create a new module based on the provided design and metadata file.
Module(Design, String, boolean) - Constructor for class com.xilinx.rapidwright.design.Module
Create a new module based on the provided design and metadata file.
Module(Design) - Constructor for class com.xilinx.rapidwright.design.Module
Create a new module based on a design
Module(Design, boolean) - Constructor for class com.xilinx.rapidwright.design.Module
Create a new module based on a design
MODULE_FILE_VERSION - Static variable in class com.xilinx.rapidwright.design.ModuleCache
This is the current module file version (saved in file to ensure proper compatibility)
ModuleCache - Class in com.xilinx.rapidwright.design
Allows RapidWright to read/write modules to a serialized, binary file.
ModuleImpls - Class in com.xilinx.rapidwright.design
A wrapper class for multiple implementations of a module.
ModuleImpls(Collection<? extends Module>) - Constructor for class com.xilinx.rapidwright.design.ModuleImpls
 
ModuleImpls(int) - Constructor for class com.xilinx.rapidwright.design.ModuleImpls
 
ModuleImpls() - Constructor for class com.xilinx.rapidwright.design.ModuleImpls
 
ModuleImplsDumpData(Design, Collection<ModuleImplsInst>, Collection<ImplsPath>, Map<ModuleImplsInst, Set<ImplsPath>>) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.DotModuleImplsDumper.ModuleImplsDumpData
 
ModuleImplsInst - Class in com.xilinx.rapidwright.design
A module instance with flexible implementation.
ModuleImplsInst(String, EDIFCellInst, ModuleImpls) - Constructor for class com.xilinx.rapidwright.design.ModuleImplsInst
 
ModuleImplsInst(String, ModuleImpls) - Constructor for class com.xilinx.rapidwright.design.ModuleImplsInst
 
ModuleInst - Class in com.xilinx.rapidwright.design
There is no direct representation of a module instance in Vivado.
ModuleInst(String, Design) - Constructor for class com.xilinx.rapidwright.design.ModuleInst
Constructor initializing instance module name
ModuleInst(ModuleInst) - Constructor for class com.xilinx.rapidwright.design.ModuleInst
This will initialize this module instance to the same attributes as the module instance passed in.
ModuleInstanceScene - Class in com.xilinx.rapidwright.gui
Show a single Module Instance.
ModuleInstanceScene(ModuleInst, boolean) - Constructor for class com.xilinx.rapidwright.gui.ModuleInstanceScene
 
ModuleInstanceScene(ModuleInst) - Constructor for class com.xilinx.rapidwright.gui.ModuleInstanceScene
 
ModuleOptimizer - Class in com.xilinx.rapidwright.placer.handplacer
 
ModuleOptimizer(QWidget, String, boolean) - Constructor for class com.xilinx.rapidwright.placer.handplacer.ModuleOptimizer
 
ModulePlacement - Class in com.xilinx.rapidwright.design
A placement of a ModuleImplsInst.
ModulePlacement(int, Site) - Constructor for class com.xilinx.rapidwright.design.ModulePlacement
 
mouseDoubleClickEvent(QGraphicsSceneMouseEvent) - Method in class com.xilinx.rapidwright.device.browser.DeviceBrowserScene
 
mouseDoubleClickEvent(QGraphicsSceneMouseEvent) - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
 
mouseDoubleClickEvent(QGraphicsSceneMouseEvent) - Method in class com.xilinx.rapidwright.gui.TileScene
 
mouseMoveEvent(QGraphicsSceneMouseEvent) - Method in class com.xilinx.rapidwright.design.blocks.GUIPBlock
 
mouseMoveEvent(QGraphicsSceneMouseEvent) - Method in class com.xilinx.rapidwright.examples.tilebrowser.PartTileBrowserScene
 
mouseMoveEvent(QMouseEvent) - Method in class com.xilinx.rapidwright.examples.tilebrowser.PartTileBrowserView
 
mouseMoveEvent(QGraphicsSceneMouseEvent) - Method in class com.xilinx.rapidwright.gui.TileScene
 
mouseMoveEvent(QMouseEvent) - Method in class com.xilinx.rapidwright.gui.TileView
This method is called when the mouse moves in the window.
mousePressed - Variable in class com.xilinx.rapidwright.gui.TileScene
The signal which is made when a mouse button is pressed
mousePressed - Variable in class com.xilinx.rapidwright.placer.handplacer.FloorPlanScene
 
mousePressEvent(QGraphicsSceneMouseEvent) - Method in class com.xilinx.rapidwright.design.blocks.GUIPBlock
 
mousePressEvent(QGraphicsSceneMouseEvent) - Method in class com.xilinx.rapidwright.device.browser.WireConnectionLine
 
mousePressEvent(QMouseEvent) - Method in class com.xilinx.rapidwright.examples.tilebrowser.PartTileBrowserView
 
mousePressEvent(QGraphicsSceneMouseEvent) - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
 
mousePressEvent(QMouseEvent) - Method in class com.xilinx.rapidwright.gui.TileView
This method is called when any mouse button is pressed.
mousePressEvent(QGraphicsSceneMouseEvent) - Method in class com.xilinx.rapidwright.placer.handplacer.FloorPlanScene
 
mouseReleaseEvent(QGraphicsSceneMouseEvent) - Method in class com.xilinx.rapidwright.design.blocks.GUIPBlock
 
mouseReleaseEvent(QGraphicsSceneMouseEvent) - Method in class com.xilinx.rapidwright.device.browser.DeviceBrowserScene
 
mouseReleaseEvent(QMouseEvent) - Method in class com.xilinx.rapidwright.examples.tilebrowser.PartTileBrowserView
 
mouseReleaseEvent(QGraphicsSceneMouseEvent) - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
 
mouseReleaseEvent(QMouseEvent) - Method in class com.xilinx.rapidwright.gui.TileView
This method is called when any mouse button is released.
mouseReleaseEvent(QGraphicsSceneMouseEvent) - Method in class com.xilinx.rapidwright.placer.handplacer.FloorPlanScene
 
move(int, int) - Method in class com.xilinx.rapidwright.design.blocks.PBlockRange
Moves the pblock by the specified offset in units of the pblock range type.
Move<ModuleInstT extends AbstractModuleInst<?,?,?>,PlacementT> - Class in com.xilinx.rapidwright.placer.blockplacer
Represents a move within the BlockPlacer.
Move(AbstractBlockPlacer<ModuleInstT, PlacementT>) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.Move
 
Move(PlacementT, PlacementT, ModuleInstT, ModuleInstT, AbstractBlockPlacer<ModuleInstT, PlacementT>) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.Move
 
Move2<ModuleInstT extends AbstractModuleInst<?,?,?>,PlacementT,PathT extends AbstractPath<?,ModuleInstT>> - Class in com.xilinx.rapidwright.placer.blockplacer
Represents a move within the BlockPlacer.
Move2(BlockPlacer2<?, ModuleInstT, PlacementT, PathT>) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.Move2
 
moved - Variable in class com.xilinx.rapidwright.design.blocks.GUIPBlock
 
moved - Variable in class com.xilinx.rapidwright.gui.GUIModuleInst
 
movePBlock(int, int) - Method in class com.xilinx.rapidwright.design.blocks.PBlock
Attempts to move the pblock by an offset of tiles in the x and y directions.
movePin(String) - Method in class com.xilinx.rapidwright.design.SitePinInst
Updates the pin name to a new name within the site.
movePinsToNewNetDeleteOldNet(Net, Net, boolean) - Method in class com.xilinx.rapidwright.design.Design
The method will take the pins on the oldNet and move them to the newNet.
moveToLibrary(EDIFLibrary) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
moveValToNewRow(long, int, int) - Static method in class com.xilinx.rapidwright.design.DesignTools
 
MultGenerator - Class in com.xilinx.rapidwright.examples
 
MultGenerator() - Constructor for class com.xilinx.rapidwright.examples.MultGenerator
 
MultiCellPinMapping() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.MultiCellPinMapping
 
multiThreaded() - Static method in class com.xilinx.rapidwright.edif.EDIFWriteLegalNameCache
 

N

name - Variable in class com.xilinx.rapidwright.gui.UiPBlock
 
naturalSort(List<String>) - Static method in class com.xilinx.rapidwright.util.StringTools
Sorts strings using the 'natural' sort approach where numbers are sorted by their magnitudes, i.e.
naturalSort(String[]) - Static method in class com.xilinx.rapidwright.util.StringTools
Sorts strings using the 'natural' sort approach where numbers are sorted by their magnitudes, i.e.
ncdFilter - Static variable in class com.xilinx.rapidwright.gui.FileFilters
Native Circuit Description File Filter
NEAR_MAX - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
NEAR_MIN - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
needsClockNetworkResources() - Method in class com.xilinx.rapidwright.design.Net
Checks if a net is a clk net and should use the clock routing resources.
Net - Class in com.xilinx.rapidwright.design
This class represents the physical net to be routed (both inter-site and intra-site).
Net() - Constructor for class com.xilinx.rapidwright.design.Net
Default Constructor
Net(String) - Constructor for class com.xilinx.rapidwright.design.Net
Initializing constructor, does not create a logical net, nor populates it.
Net(String, EDIFHierNet) - Constructor for class com.xilinx.rapidwright.design.Net
Creates a new physical net based on an existing logical net.
Net(String, NetType) - Constructor for class com.xilinx.rapidwright.design.Net
Creates a new physical net with the ability to specify its type.
Net(EDIFHierNet) - Constructor for class com.xilinx.rapidwright.design.Net
Creates a new physical net based on an existing logical net.
NET - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
Net() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net
 
Netlist() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist
 
NetRoutesThruLutAtMostOnce - Class in com.xilinx.rapidwright.design.drc
Check that each LUT contains at most one routethru of each net.
NetRoutesThruLutAtMostOnce() - Constructor for class com.xilinx.rapidwright.design.drc.NetRoutesThruLutAtMostOnce
 
netsNotNeedingRouting - Variable in class com.xilinx.rapidwright.util.ReportRouteStatusResult
 
netsWithNoDriver - Variable in class com.xilinx.rapidwright.util.ReportRouteStatusResult
 
netsWithNoLoads - Variable in class com.xilinx.rapidwright.util.ReportRouteStatusResult
 
netsWithNoPlacedPins - Variable in class com.xilinx.rapidwright.util.ReportRouteStatusResult
 
netsWithRoutingErrors - Variable in class com.xilinx.rapidwright.util.ReportRouteStatusResult
 
netsWithSomeUnplacedPins - Variable in class com.xilinx.rapidwright.util.ReportRouteStatusResult
 
netsWithSomeUnroutedPins - Variable in class com.xilinx.rapidwright.util.ReportRouteStatusResult
 
NetType - Enum in com.xilinx.rapidwright.design
This enum is simply a way to check net types easier than using Strings.
NetWrapper - Class in com.xilinx.rapidwright.rwroute
A wrapper class of Net with additional information for the router.
NetWrapper(int, Net) - Constructor for class com.xilinx.rapidwright.rwroute.NetWrapper
 
newStream(Consumer<OutputStream>) - Static method in class com.xilinx.rapidwright.util.ParallelDCPOutput
 
newType1(OpCode, RegisterType, int) - Static method in class com.xilinx.rapidwright.bitstream.Packet
Creates a new type 1 packet and allocates any applicable data words.
newType1(OpCode, RegisterType, int[]) - Static method in class com.xilinx.rapidwright.bitstream.Packet
Creates a new type 1 packet and allocates any applicable data words.
newType2(OpCode, int) - Static method in class com.xilinx.rapidwright.bitstream.Packet
Creates a new type 2 packet and allocates any applicable data words.
newType2(OpCode, int[]) - Static method in class com.xilinx.rapidwright.bitstream.Packet
Creates a new type 2 packet and allocates any applicable data words.
nextInt() - Method in class com.xilinx.rapidwright.placer.blockplacer.LinearCongruentialGenerator
 
nextLagunaColumn - Variable in class com.xilinx.rapidwright.rwroute.RouteNodeGraph
 
nextPowerOf2(int) - Static method in class com.xilinx.rapidwright.placer.blockplacer.LinearCongruentialGenerator
 
nmcFilter - Static variable in class com.xilinx.rapidwright.gui.FileFilters
Hard Macro File Filter
NOCChannel - Class in com.xilinx.rapidwright.design.noc
Represents the protocol and physical path of resources used to satisfy a protocol of a NOC Connection.
NOCClient - Class in com.xilinx.rapidwright.design.noc
Represents a NOC client endpoint within a NOCDesign or NOC solution.
NOCConnection - Class in com.xilinx.rapidwright.design.noc
Represents a NOC connection between two client endpoints.
NOCDesign - Class in com.xilinx.rapidwright.design.noc
Represents the Network-on-Chip solution for the current design
NoCloseOutputStream - Class in com.xilinx.rapidwright.util
Delegate all operations to the underlying OutputStream, except close.
NoCloseOutputStream(OutputStream) - Constructor for class com.xilinx.rapidwright.util.NoCloseOutputStream
 
NOCMaster - Class in com.xilinx.rapidwright.design.noc
Represents an AXI Master instance of the NOC
NOCSlave - Class in com.xilinx.rapidwright.design.noc
Represents an AXI Slave instance of the NOC
Node - Class in com.xilinx.rapidwright.device
This class represents a reference to the notion of a node in Vivado, which is an electrically connected set of Wires that can span tiles.
Node(Node) - Constructor for class com.xilinx.rapidwright.device.Node
Copy constructor.
Node() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Node
 
NodeConstantSource() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.NodeConstantSource
 
NodeStatus - Enum in com.xilinx.rapidwright.rwroute
Enumeration designating the routing status of a Node.
NodeTiming() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming
 
NodeTimingRef() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef
 
NOOP - Static variable in class com.xilinx.rapidwright.bitstream.Packet
A 'No Op' packet
NOT - Static variable in class com.xilinx.rapidwright.design.tools.LUTEquationEvaluator
 
NOT2 - Static variable in class com.xilinx.rapidwright.design.tools.LUTEquationEvaluator
 
NULL_COLUMN_BREAK_SIZE - Static variable in class com.xilinx.rapidwright.device.helper.TileColumnPattern
The number of consecutive NULL columns for a pattern not to cross
NULL_END_WIRE_IDX - Static variable in class com.xilinx.rapidwright.device.PIP
Sentinel index for a partial PIP where only the start node is valid.
NULL_SENTINEL - Static variable in class com.xilinx.rapidwright.design.ModuleCache
 
NULL_SITE_INST - Static variable in class com.xilinx.rapidwright.design.ModuleCache
 
NullOutputStream - Class in com.xilinx.rapidwright.util
OutputStream that discards all writes
NullOutputStream() - Constructor for class com.xilinx.rapidwright.util.NullOutputStream
 
NUMBERDEFINITION - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
NumberedHighlightedTile - Class in com.xilinx.rapidwright.gui
 
NumberedHighlightedTile(Tile, TileScene, int) - Constructor for class com.xilinx.rapidwright.gui.NumberedHighlightedTile
 
numNodes() - Method in class com.xilinx.rapidwright.rwroute.RouteNodeGraph
 
numOfBlocks() - Method in class com.xilinx.rapidwright.bitstream.ConfigRow
Gets the number of blocks in this row.

O

oddAddSub - Static variable in class com.xilinx.rapidwright.examples.PolynomialGenerator
 
oddDSP - Static variable in class com.xilinx.rapidwright.examples.PolynomialGenerator
 
of(Tile...) - Static method in class com.xilinx.rapidwright.design.RelocatableTileRectangle
 
of(Tile...) - Static method in class com.xilinx.rapidwright.design.SimpleTileRectangle
 
offsets - Static variable in class com.xilinx.rapidwright.device.Node
 
opacity - Variable in class com.xilinx.rapidwright.gui.UiPBlock
 
OpCode - Enum in com.xilinx.rapidwright.bitstream
Enumeration of the opcode field in both type 1 and type 2 packets.
OPEN_HAND_PLACER - Static variable in class com.xilinx.rapidwright.ipi.BlockStitcher
 
openDesign(Design) - Static method in class com.xilinx.rapidwright.placer.handplacer.HandPlacer
 
openDesign(Design, boolean) - Static method in class com.xilinx.rapidwright.placer.handplacer.HandPlacer
 
openHMDesign() - Method in class com.xilinx.rapidwright.placer.handplacer.FloorPlanScene
 
openNewDesign(Design) - Method in class com.xilinx.rapidwright.placer.handplacer.FloorPlanScene
 
optimizeLUT1Inverters(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
 
OR - Static variable in class com.xilinx.rapidwright.design.tools.LUTEquationEvaluator
 
OR2 - Static variable in class com.xilinx.rapidwright.design.tools.LUTEquationEvaluator
 
ORANGE - Static variable in class com.xilinx.rapidwright.gui.HMTile
 
orientation() - Method in enum com.xilinx.rapidwright.timing.delayestimator.InterconnectInfo.NodeGroupType
 
OUTPIN_FLAG - Static variable in class com.xilinx.rapidwright.design.ModuleCache
 
OUTPUT_FF_MACRO_SUFFIX - Static variable in class com.xilinx.rapidwright.design.Module
 
OUTPUT_LUT_MACRO_SUFFIX - Static variable in class com.xilinx.rapidwright.design.Module
 
OUTPUT_NAME - Static variable in class com.xilinx.rapidwright.examples.PipelineGenerator
 
OUTPUT_NAME - Static variable in class com.xilinx.rapidwright.examples.PipelineGeneratorWithRouting
 
OUTPUT_PORT_INNER_SUFFIX - Static variable in class com.xilinx.rapidwright.design.Module
 
OUTPUT_PORT_SUFFIX - Static variable in class com.xilinx.rapidwright.design.Module
 
OVERHEAD_RATIO - Variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
OVERHEAD_RATIO_OPT - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
overlaps(T) - Method in class com.xilinx.rapidwright.design.AbstractModuleInst
 
overlaps(ModuleImplsInst) - Method in class com.xilinx.rapidwright.design.ModuleImplsInst
 
overlaps(ModuleInst) - Method in class com.xilinx.rapidwright.design.ModuleInst
 
overlaps(TileRectangle) - Method in class com.xilinx.rapidwright.design.TileRectangle
Check whether this Rectangle has any Tiles in common with another one
overrideDataFileDownload() - Static method in class com.xilinx.rapidwright.util.FileTools
 
overwriteBUGCEDelay - Variable in class com.xilinx.rapidwright.timing.TimingGraph
 
OWNER - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 

P

Package - Class in com.xilinx.rapidwright.device
Represents an instance of a specific package for a part.
Package() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package
 
PackagePin - Class in com.xilinx.rapidwright.device
Holds data for a package pin and its mapping to a specific site/bel.
PackagePin() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin
 
PackagePinConstraint - Class in com.xilinx.rapidwright.ipi
Annotates a package pin name with an IO standard Created on: Jan 25, 2018
PackagePinConstraint() - Constructor for class com.xilinx.rapidwright.ipi.PackagePinConstraint
 
Packet - Class in com.xilinx.rapidwright.bitstream
Represents Type I and Type II packets for at least Series 7, UltraScale and UltraScale+ devices.
Packet(int) - Constructor for class com.xilinx.rapidwright.bitstream.Packet
Creates a new packet with the provided header word
Packet(int, int) - Constructor for class com.xilinx.rapidwright.bitstream.Packet
Creates a new packet that has a single word of data
Packet(CMDCode) - Constructor for class com.xilinx.rapidwright.bitstream.Packet
Creates a new packet targeting the command register with the provided command code
Packet(int, int[]) - Constructor for class com.xilinx.rapidwright.bitstream.Packet
Creates a new packet with the provided header and set of data
PacketType - Enum in com.xilinx.rapidwright.bitstream
Enumeration of the packet type field as specified in the frame address register field.
paint(QPainter, QStyleOptionGraphicsItem, QWidget) - Method in class com.xilinx.rapidwright.placer.handplacer.GUIMultiNetLine
 
paint(QPainter, QStyleOptionGraphicsItem, QWidget) - Method in class com.xilinx.rapidwright.placer.handplacer.GUINetLine
 
Pair<T,U> - Class in com.xilinx.rapidwright.util
Simple class to group two items together.
Pair() - Constructor for class com.xilinx.rapidwright.util.Pair
 
Pair(T, U) - Constructor for class com.xilinx.rapidwright.util.Pair
 
ParallelDCPInput - Class in com.xilinx.rapidwright.util
Class for Parallel DCP input operations.
ParallelDCPOutput - Class in com.xilinx.rapidwright.util
Class for Parallel DCP output operations.
ParallelEDIFParser - Class in com.xilinx.rapidwright.edif
Fast EDIF Parser using parallelism
ParallelEDIFParser(Path, long, InputStreamSupplier) - Constructor for class com.xilinx.rapidwright.edif.ParallelEDIFParser
 
ParallelEDIFParser(Path, long) - Constructor for class com.xilinx.rapidwright.edif.ParallelEDIFParser
 
ParallelEDIFParser(Path) - Constructor for class com.xilinx.rapidwright.edif.ParallelEDIFParser
 
ParallelEDIFParserWorker - Class in com.xilinx.rapidwright.edif
Worker thread inside the parallel EDIF parser
ParallelEDIFParserWorker(Path, InputStream, long, StringPool, int, EDIFReadLegalNameCache) - Constructor for class com.xilinx.rapidwright.edif.ParallelEDIFParserWorker
 
ParallelEDIFParserWorker.CellReferenceData - Class in com.xilinx.rapidwright.edif
 
ParallelismTools - Class in com.xilinx.rapidwright.util
Utilities to aid in parallel processing A class that abstracts away single-threaded and multi-threaded execution.
ParallelismTools() - Constructor for class com.xilinx.rapidwright.util.ParallelismTools
 
ParameterCellBelPinMaps() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps
 
ParameterDefinition() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition
 
ParameterDefinitions() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinitions
 
ParameterMapEntry() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapEntry
 
ParameterMapRule() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule
 
ParameterSiteTypeBelEntry() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry
 
Params - Class in com.xilinx.rapidwright.util
Aims to be a centralized helper class to manage global RapidWright settings.
Params() - Constructor for class com.xilinx.rapidwright.util.Params
 
parent - Variable in class com.xilinx.rapidwright.router.RouteNode
This is the pointer to a parent node in the route it is a part of
ParentPins() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParentPins
 
parse(String) - Method in class com.xilinx.rapidwright.design.MetadataParser
 
parseEDIFNetlist() - Method in class com.xilinx.rapidwright.edif.EDIFParser
 
parseEDIFNetlist() - Method in class com.xilinx.rapidwright.edif.ParallelEDIFParser
 
parseEDIFNetlist(CodePerfTracker) - Method in class com.xilinx.rapidwright.edif.ParallelEDIFParser
 
parseFirstToken() - Method in class com.xilinx.rapidwright.edif.ParallelEDIFParserWorker
Skip to our offset, then advance to the next cell
parsePolynomial(String) - Static method in class com.xilinx.rapidwright.examples.PolynomialGenerator
 
parseTimingSummaryFile(Path, Path, double, Path) - Static method in class com.xilinx.rapidwright.util.performance_evaluation.TimingResults
 
parseTimingSummaryFile(Path, Path, double, Path, String) - Static method in class com.xilinx.rapidwright.util.performance_evaluation.TimingResults
 
parseVivadoPathToStringList(File) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Parses the data path from an input file indicating data path of a Vivado timing report.
parseXDC(String, Device) - Static method in class com.xilinx.rapidwright.ipi.XDCParser
Very rudimentary parsing to extract IO placements and IO standards.
PART - Static variable in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
Part - Class in com.xilinx.rapidwright.device
Generated on: Fri Oct 20 21:29:57 2023 by: com.xilinx.rapidwright.release.PartNamePopulator Class used to uniquely represent a Xilinx part.
Part(String, FamilyType, String, FamilyType, String, String, String, String, String, int, int, int, int, int, int, int, int, Series) - Constructor for class com.xilinx.rapidwright.device.Part
 
PART_DB_FILE_VERSION - Static variable in class com.xilinx.rapidwright.util.FileTools
Part Database File Version
PART_DB_PATH - Static variable in class com.xilinx.rapidwright.util.FileTools
Location of the main parts database file
PART_DUMP_FILE_NAME - Static variable in class com.xilinx.rapidwright.util.FileTools
File name created from Vivado for all supported parts for RapidWright
PartialRouter - Class in com.xilinx.rapidwright.rwroute
A class extending RWRoute for partial routing.
PartialRouter(Design, RWRouteConfig, Collection<SitePinInst>, boolean) - Constructor for class com.xilinx.rapidwright.rwroute.PartialRouter
 
PartialRouter(Design, RWRouteConfig, Collection<SitePinInst>) - Constructor for class com.xilinx.rapidwright.rwroute.PartialRouter
 
PartitionLine - Class in com.xilinx.rapidwright.placer.handplacer
 
PartitionLine(Tile, Tile) - Constructor for class com.xilinx.rapidwright.placer.handplacer.PartitionLine
 
PartitionPin - Class in com.xilinx.rapidwright.design
Represents a routing interface point that can be attached to a logical port or pin of a cell.
partMap - Static variable in class com.xilinx.rapidwright.device.PartNameTools
 
partName - Static variable in class com.xilinx.rapidwright.examples.PolynomialGenerator
 
PartNameTools - Class in com.xilinx.rapidwright.device
Generated on: Fri Oct 20 21:29:57 2023 by: com.xilinx.rapidwright.release.PartNamePopulator Class to hold utility APIs dealing with Parts and device names.
PartNameTools() - Constructor for class com.xilinx.rapidwright.device.PartNameTools
 
PartPrinter - Class in com.xilinx.rapidwright.util
Prints all the installed parts in RapidWright Created on: Jan 9, 2017
PartPrinter() - Constructor for class com.xilinx.rapidwright.util.PartPrinter
 
PartTileBrowser - Class in com.xilinx.rapidwright.examples.tilebrowser
This class is an example of how RapidWright could be used to build interactive tools using Qt or other GUI packages.
PartTileBrowser(QWidget) - Constructor for class com.xilinx.rapidwright.examples.tilebrowser.PartTileBrowser
Constructor of a new PartTileBrowser
PartTileBrowserScene - Class in com.xilinx.rapidwright.examples.tilebrowser
 
PartTileBrowserScene(Device) - Constructor for class com.xilinx.rapidwright.examples.tilebrowser.PartTileBrowserScene
 
PartTileBrowserView - Class in com.xilinx.rapidwright.examples.tilebrowser
 
PartTileBrowserView(QGraphicsScene) - Constructor for class com.xilinx.rapidwright.examples.tilebrowser.PartTileBrowserView
 
patchUpDelayOfConnections(List<Connection>) - Method in class com.xilinx.rapidwright.timing.TimingManager
Patches up the delay of consecutive Long nodes for connections.
Path - Class in com.xilinx.rapidwright.placer.blockplacer
Represents a delay path between pre-implemented modules.
Path(String) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.Path
 
Path() - Constructor for class com.xilinx.rapidwright.placer.blockplacer.Path
 
PathPort - Class in com.xilinx.rapidwright.placer.blockplacer
Represents endpoints on a Path.
PathPort(SitePinInst, HardMacro, Tile) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.PathPort
 
PBlock - Class in com.xilinx.rapidwright.design.blocks
Represents a collection of one or more pblock ranges that describe a complete pblock Created on: Sep 16, 2016
PBlock() - Constructor for class com.xilinx.rapidwright.design.blocks.PBlock
 
PBlock(Device, String) - Constructor for class com.xilinx.rapidwright.design.blocks.PBlock
Creates a new pblock object from a Vivado-style PBlock string.
PBlock(Device, Set<Site>) - Constructor for class com.xilinx.rapidwright.design.blocks.PBlock
Creates a new pblock object from a set of sites.
PBLOCK_COUNT - Variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
PBlockCorner - Interface in com.xilinx.rapidwright.design
An attempt to hide the two different types of objects (Site and ClockRegion) from the view of the PBlockRange.
PBlockGenDebugger - Class in com.xilinx.rapidwright.device.browser
WIP.
PBlockGenDebugger(QWidget) - Constructor for class com.xilinx.rapidwright.device.browser.PBlockGenDebugger
 
PBlockGenEmitter - Class in com.xilinx.rapidwright.device.browser
WIP.
PBlockGenEmitter() - Constructor for class com.xilinx.rapidwright.device.browser.PBlockGenEmitter
 
PBlockGenerator - Class in com.xilinx.rapidwright.design.blocks
Attempts to estimate a fitting pblock for a given design.
PBlockGenerator() - Constructor for class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
PBlockGenScene - Class in com.xilinx.rapidwright.device.browser
WIP.
PBlockGenScene(Device, boolean, boolean, DeviceBrowser) - Constructor for class com.xilinx.rapidwright.device.browser.PBlockGenScene
 
PBlockGenTester - Class in com.xilinx.rapidwright.tests
Tests the PBlockGenerator with a set of designs created in a runs directory.
PBlockGenTester() - Constructor for class com.xilinx.rapidwright.tests.PBlockGenTester
 
PBlockRange - Class in com.xilinx.rapidwright.design.blocks
Represents a range of a particular type for a pblock Created on: Sep 16, 2016
PBlockRange(Device, String) - Constructor for class com.xilinx.rapidwright.design.blocks.PBlockRange
 
PBlockRange(Site, Site) - Constructor for class com.xilinx.rapidwright.design.blocks.PBlockRange
 
PBlockScene - Class in com.xilinx.rapidwright.gui
UI Scene that can show named PBlocks
PBlockScene(Design) - Constructor for class com.xilinx.rapidwright.gui.PBlockScene
 
pdfFilter - Static variable in class com.xilinx.rapidwright.gui.FileFilters
Portable Document Format File Filter
PerformanceEvaluation - Class in com.xilinx.rapidwright.util.performance_evaluation
Take a unrouted or partially routed design, route it in Vivado with auto generated timing constraints to find the maximum clock frequency the design supports.
PerformanceEvaluation(Path, Path, boolean, String) - Constructor for class com.xilinx.rapidwright.util.performance_evaluation.PerformanceEvaluation
 
PerformanceEvaluation.RouteRun - Class in com.xilinx.rapidwright.util.performance_evaluation
 
PerformanceExplorer - Class in com.xilinx.rapidwright.util
This class is designed to run multiple instances of Vivado with the goal of achieving a better result than what is produced on average.
PerformanceExplorer(Design, String, String, double) - Constructor for class com.xilinx.rapidwright.util.PerformanceExplorer
 
PerformanceExplorer(Design, String, String, double, Map<PBlock, String>) - Constructor for class com.xilinx.rapidwright.util.PerformanceExplorer
 
PHYS_NETLIST_EXT - Static variable in class com.xilinx.rapidwright.interchange.Interchange
Standard file extension for a physical netlist in the FPGA Interchange Format
PhysBel() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBel
 
PhysBelPin() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBelPin
 
PhysCell() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysCell
 
PhysicalNetlist - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist
 
PhysicalNetlist.HashSet - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.HashSet.Builder - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.HashSet.Factory - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.HashSet.Reader - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.Builder - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.CellPlacement - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.CellPlacement.Builder - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.CellPlacement.Factory - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.CellPlacement.Reader - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.Factory - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.MultiCellPinMapping - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.MultiCellPinMapping.Builder - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.MultiCellPinMapping.Factory - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.MultiCellPinMapping.Reader - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.NetType - Enum in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysBel - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysBel.Builder - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysBel.Factory - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysBel.Reader - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysBelPin - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysBelPin.Builder - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysBelPin.Factory - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysBelPin.Reader - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysCell - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysCell.Builder - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysCell.Factory - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysCell.Reader - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysCellType - Enum in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysNet - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysNet.Builder - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysNet.Factory - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysNet.Reader - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysNode - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysNode.Builder - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysNode.Factory - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysNode.Reader - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysPIP - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysPIP.Builder - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysPIP.Factory - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysPIP.Reader - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysPIP.Which - Enum in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysSitePin - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysSitePin.Builder - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysSitePin.Factory - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysSitePin.Reader - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysSitePIP - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysSitePIP.Builder - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysSitePIP.Factory - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysSitePIP.Reader - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PhysSitePIP.Which - Enum in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PinMapping - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PinMapping.Builder - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PinMapping.Factory - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PinMapping.Reader - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.PinMapping.Which - Enum in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.Property - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.Property.Builder - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.Property.Factory - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.Property.Reader - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.Reader - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.RouteBranch - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.RouteBranch.Builder - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.RouteBranch.Factory - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.RouteBranch.Reader - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Factory - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Reader - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Which - Enum in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.SiteInstance - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.SiteInstance.Builder - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.SiteInstance.Factory - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.PhysNetlist.SiteInstance.Reader - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.Schemas - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.StringRef - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.StringRef.Builder - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.StringRef.Factory - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlist.StringRef.Reader - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlistExample - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlistExample() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlistExample
 
PhysicalNetlistToDcp - Class in com.xilinx.rapidwright.interchange
 
PhysicalNetlistToDcp() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlistToDcp
 
PhysNet() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet
 
PhysNetlist() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist
 
PhysNetlistReader - Class in com.xilinx.rapidwright.interchange
 
PhysNetlistReader(EDIFNetlist) - Constructor for class com.xilinx.rapidwright.interchange.PhysNetlistReader
 
PhysNetlistWriter - Class in com.xilinx.rapidwright.interchange
 
PhysNetlistWriter() - Constructor for class com.xilinx.rapidwright.interchange.PhysNetlistWriter
 
PhysNode() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNode
 
PhysPIP() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP
 
PhysSitePin() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePin
 
PhysSitePIP() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP
 
PicoBlazeArray - Class in com.xilinx.rapidwright.examples
 
PicoBlazeArray() - Constructor for class com.xilinx.rapidwright.examples.PicoBlazeArray
 
PicoBlazeArray.PicoBlazeArrayCreator<T extends AbstractModuleInst<?,?,T>> - Class in com.xilinx.rapidwright.examples
 
PicoBlazeArrayCreator() - Constructor for class com.xilinx.rapidwright.examples.PicoBlazeArray.PicoBlazeArrayCreator
 
PIN_FIXED_FLAG - Static variable in class com.xilinx.rapidwright.design.ModuleCache
 
PinDelay() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay
 
PinMapping() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping
 
PinMapTester - Class in com.xilinx.rapidwright.tests
 
PinMapTester() - Constructor for class com.xilinx.rapidwright.tests.PinMapTester
 
PinsDelay() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay
 
PinSwap - Class in com.xilinx.rapidwright.design
Class specifically created to manage pin swaps on the same site (such as LUTs) Created on: Nov 22, 2017
PinSwap(Cell, String, String, String, String, String) - Constructor for class com.xilinx.rapidwright.design.PinSwap
PinSwap constructor
PinType - Enum in com.xilinx.rapidwright.design
 
PIP - Class in com.xilinx.rapidwright.device
This class represents the programmable-interconnect-points (PIPs) as found in Vivado designs.
PIP(PIP) - Constructor for class com.xilinx.rapidwright.device.PIP
Copy constructor
PIP(Device, String, String, String) - Constructor for class com.xilinx.rapidwright.device.PIP
Creates a new PIP from names of the tile and wires
PIP(Tile, int, int) - Constructor for class com.xilinx.rapidwright.device.PIP
Constructor that creates a new PIP from parameters.
PIP(Tile, String, String) - Constructor for class com.xilinx.rapidwright.device.PIP
Constructor that creates a new PIP from parameters.
PIP(String, Device) - Constructor for class com.xilinx.rapidwright.device.PIP
Constructs a PIP object based on its toString() representation.
PIP(PIP, Tile) - Constructor for class com.xilinx.rapidwright.device.PIP
Copy constructor and translate to new tile.
PIP() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP
 
PIP_TILE_SEPARATOR - Static variable in class com.xilinx.rapidwright.device.PIP
Character used in Vivado string representation of PIP
PIP_TILETYPE_SEPARATOR - Static variable in class com.xilinx.rapidwright.device.PIP
Character used in Vivado string representation of PIP
PIPCache - Class in com.xilinx.rapidwright.interchange
Class for caching PIP lookups, given a Tile object, and string indices for the start and end wire names.
PIPCache(Map<PIPCache.Key, PIP>, List<String>) - Constructor for class com.xilinx.rapidwright.interchange.PIPCache
 
PipelineGenerator - Class in com.xilinx.rapidwright.examples
Generates a delay of <depth> cycles for a bus of <width> w using flip flops.
PipelineGenerator() - Constructor for class com.xilinx.rapidwright.examples.PipelineGenerator
 
PipelineGeneratorWithRouting - Class in com.xilinx.rapidwright.examples
Generates a delay of "depth" cycles for a bus of "width" w using flip flops.
PipelineGeneratorWithRouting() - Constructor for class com.xilinx.rapidwright.examples.PipelineGeneratorWithRouting
 
PIPTiming() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming
 
PIPTimingRef() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef
 
PIPType - Enum in com.xilinx.rapidwright.device
This type captures the PIP properties shown in a Vivado PIP called "IS_BUFFERED_2_0", "IS_BUFFERED_2_1" and "IS_DIRECTIONAL"
PIPWires - Class in com.xilinx.rapidwright.device
Simple pair of ints that act as the start and end wire of a prototype PIP.
PIPWires(int, int) - Constructor for class com.xilinx.rapidwright.device.PIPWires
 
place(ModulePlacement) - Method in class com.xilinx.rapidwright.design.ModuleImplsInst
 
place(Site) - Method in class com.xilinx.rapidwright.design.ModuleInst
Places the module instance anchor at the newAnchorSite as well as all other instances and nets within the module instance at their relative offsets of the new site.
place(Site, boolean) - Method in class com.xilinx.rapidwright.design.ModuleInst
Places the module instance anchor at the newAnchorSite as well as all other instances and nets within the module instance at their relative offsets of the new site.
place(Site, boolean, boolean) - Method in class com.xilinx.rapidwright.design.ModuleInst
Places the module instance anchor at the newAnchorSite as well as all other instances and nets within the module instance at their relative offsets of the new site.
place(Site) - Method in class com.xilinx.rapidwright.design.SiteInst
Places the site instance at the site specified.
place(ModuleInstT) - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractOverlapCache
 
place(ModuleInstT) - Method in class com.xilinx.rapidwright.placer.blockplacer.ExhaustiveOverlapCache
 
place(ModuleInstT) - Method in class com.xilinx.rapidwright.placer.blockplacer.RegionBasedOverlapCache
Add an Instance to the cache.
placeAndRouteLagunaFlopPair(Design, EDIFHierNet, Site, String) - Static method in class com.xilinx.rapidwright.examples.SLRCrosserGenerator
Given a logical net and site/bel site, this method will perform the placement and routing of two laguna flops and their inter-site super-long-line routing.
placeAndRouteSLRCrossing(Design, Site, String, String, int) - Static method in class com.xilinx.rapidwright.examples.SLRCrosserGenerator
Places and routes an SLR crossing given a north and south bus of size width.
placeBUFGCE(Design, Site, String) - Static method in class com.xilinx.rapidwright.examples.SLRCrosserGenerator
Places a BUFGCE present in the netlist.
placeCell(Cell, Site, BEL) - Method in class com.xilinx.rapidwright.design.Design
This is a limited checking placement method for placing a cell on a BEL site.
placeCell(Cell, Site, BEL, Map<String, String>) - Method in class com.xilinx.rapidwright.design.Design
This is a limited checking placement method for placing a cell on a BEL site.
placeCell(Cell, Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
Quick and dumb placement of a cell.
placeCells(Map<String, String>) - Method in class com.xilinx.rapidwright.design.Design
Places a set of cells according to the map provided.
placeDesign(Design, boolean) - Method in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer
 
placeDesign(boolean) - Method in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
 
placeIOB(EDIFCellInst, String, String) - Method in class com.xilinx.rapidwright.design.Design
Places an existing IBUF or OBUF in the netlist according to the provided package pin.
placement - Variable in class com.xilinx.rapidwright.design.ModulePlacement
 
placeMINearTile(Tile, SiteTypeEnum) - Method in class com.xilinx.rapidwright.design.ModuleInst
Attempts to place the module instance such that it's lower left tile falls on the specified IP tile (CLB,DSP,BRAM,...)
placeModuleNear(ModuleInst, Tile, HashSet<Tile>) - Method in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer
 
placeModuleNear(ModuleInst, Tile, HashSet<Tile>) - Method in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2Module
 
placeOnOriginalAnchor() - Method in class com.xilinx.rapidwright.design.ModuleInst
Places the module instance on the module's anchor site (original location of the module).
PlacerDirective - Enum in com.xilinx.rapidwright.util
These are the directives found as options to 'place_design -directive', Created on: Mar 20, 2018
placerRuntime - Variable in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer
 
placerRuntime - Variable in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
 
Point - Class in com.xilinx.rapidwright.placer.blockplacer
Simple X,Y coordinate, used for calculating centroids.
Point(int, int) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.Point
 
Point(Tile) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.Point
 
PolynomialGenerator - Class in com.xilinx.rapidwright.examples
 
PolynomialGenerator() - Constructor for class com.xilinx.rapidwright.examples.PolynomialGenerator
 
populateAllPinMappings(String, Device, DeviceResources.Device.Builder, StringEnumerator) - Static method in class com.xilinx.rapidwright.interchange.EnumerateCellBelMapping
 
populateBlackBox(Design, String, Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
NOTE: This method is not fully tested.
populateCellBelPin(StringEnumerator, Map<SiteTypeEnum, List<Site>>, DeviceResources.Device.CellBelMapping.Builder, EDIFCell, EDIFCell, Design) - Static method in class com.xilinx.rapidwright.interchange.EnumerateCellBelMapping
 
populateEnumerations(Design, Device) - Static method in class com.xilinx.rapidwright.interchange.DeviceResourcesWriter
 
populateHierCellInstMap() - Method in class com.xilinx.rapidwright.timing.TimingGraph
 
PopulateMacroParamRules - Class in com.xilinx.rapidwright.interchange
This class is intended to find and make available macro parameter propagation rules for the Interchange.
PopulateMacroParamRules() - Constructor for class com.xilinx.rapidwright.interchange.PopulateMacroParamRules
 
populateNetlistBuilder(EDIFNetlist, LogicalNetlist.Netlist.Builder, CodePerfTracker) - Method in class com.xilinx.rapidwright.interchange.LogNetlistWriter
Helper method to populate the logical netlist object with an existing builder.
populateSiteEnumerations(SiteInst, Site) - Static method in class com.xilinx.rapidwright.interchange.DeviceResourcesWriter
 
Port - Class in com.xilinx.rapidwright.design
This class represents the ports used to define the interfaces of modules.
Port() - Constructor for class com.xilinx.rapidwright.design.Port
Default constructor, everything is null.
Port(String, SitePinInst) - Constructor for class com.xilinx.rapidwright.design.Port
 
Port(String, Collection<SitePinInst>) - Constructor for class com.xilinx.rapidwright.design.Port
 
Port(String, boolean, String) - Constructor for class com.xilinx.rapidwright.design.Port
Special constructor when creating a port that has a pass-thru connection
Port(String) - Constructor for class com.xilinx.rapidwright.design.Port
 
PORT - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
Port() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port
 
PORT - Static variable in class com.xilinx.rapidwright.interchange.PhysNetlistWriter
 
PORT_WIDTH_MASK - Static variable in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
 
PortInstance() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance
 
PORTREF - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
PortRef() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef
 
PortType - Enum in com.xilinx.rapidwright.design
Created on: May 10, 2016
PortWire - Class in com.xilinx.rapidwright.placer.blockplacer
 
PortWire(SitePinInst, SitePinInst) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.PortWire
 
postBlackBoxCleanup(String, Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
Attempts to rename boundary nets around the previous blackbox to follow naming convention (net is named after source).
postProcessing(Design, List<Pair<String, String>>) - Static method in class com.xilinx.rapidwright.util.BlackboxPopulator
Process the design after filling black boxes to match what Vivado expects.
prepareShellBlackBoxForRouting(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
This adds PROHIBIT constraints to the design (via .XDC) that will prohibit the use of BEL sites in the same half SLICE if there are any other cells placed in it.
prepareSinkPinsForRouting(SitePinInst, SitePinInst) - Method in class com.xilinx.rapidwright.router.Router
Updates class members with the current route information
preprocess(Design) - Static method in class com.xilinx.rapidwright.rwroute.PartialRouter
Calls RWRoute.preprocess(Design) to preprocess the design, and furthermore update the SitePinInst.isRouted() result for all pins in the design.
preprocess(Design) - Static method in class com.xilinx.rapidwright.rwroute.RWRoute
Pre-process the design to ensure that only the physical Net-s corresponding to the parent logical EDIFHierNet exists, and that such Net-s contain all necessary SitePinInst objects.
preserve(Net, List<SitePinInst>) - Method in class com.xilinx.rapidwright.rwroute.RouteNodeGraph
 
preserve(Net) - Method in class com.xilinx.rapidwright.rwroute.RouteNodeGraph
 
preserveAsync(Net, List<SitePinInst>) - Method in class com.xilinx.rapidwright.rwroute.RouteNodeGraph
 
preserveAsync(Net) - Method in class com.xilinx.rapidwright.rwroute.RouteNodeGraph
 
prevLagunaColumn - Variable in class com.xilinx.rapidwright.rwroute.RouteNodeGraph
 
prevX - Variable in class com.xilinx.rapidwright.gui.TileScene
The previous X location of the mouse
prevY - Variable in class com.xilinx.rapidwright.gui.TileScene
The previous Y location of the mouse
PrimToMacroExpansion() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion
 
printClkNodeInfo(RouteNode, String) - Method in class com.xilinx.rapidwright.router.Router
 
printDiffReport(PrintStream) - Method in class com.xilinx.rapidwright.design.compare.DesignComparator
Prints an exhaustive list of diffs with specific contextual information about each difference.
printDiffReport(PrintStream) - Method in class com.xilinx.rapidwright.edif.compare.EDIFNetlistComparator
 
printDiffReportSummary(PrintStream) - Method in class com.xilinx.rapidwright.design.compare.DesignComparator
Prints a summary total for each difference type found in the recent comparisons since the last time the diff counter was reset.
printDiffReportSummary(PrintStream) - Method in class com.xilinx.rapidwright.edif.compare.EDIFNetlistComparator
 
PrintEDIFInstances - Class in com.xilinx.rapidwright.examples
This example enumerates all cell instances in an EDIF netlist and writes them to a file.
PrintEDIFInstances() - Constructor for class com.xilinx.rapidwright.examples.PrintEDIFInstances
 
printEDIFInstancesToFile(EDIFNetlist, String) - Static method in class com.xilinx.rapidwright.examples.PrintEDIFInstances
 
printFanout(RouteNode, int) - Static method in class com.xilinx.rapidwright.util.DeviceTools
 
printHeader(String) - Static method in class com.xilinx.rapidwright.util.MessageGenerator
Prints a generic header to standard out to separate operations.
printHierSepNames(EDIFNetlist) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
printIntentCodesBySeries(Series) - Static method in enum com.xilinx.rapidwright.device.IntentCode
 
printLibraries(EDIFNetlist) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
printListInColumns(List<String>, PrintStream) - Static method in class com.xilinx.rapidwright.util.StringTools
Prints a list of Strings in columns, based upon the terminal width.
printListInColumns(List<String>, PrintStream, int) - Static method in class com.xilinx.rapidwright.util.StringTools
Prints a list of Strings in columns, based upon the terminal width.
printNodeTypeUsageAndWirelength(boolean, Map<IntentCode, Long>, Map<IntentCode, Long>) - Static method in class com.xilinx.rapidwright.rwroute.RWRoute
 
printNS(double) - Static method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
printPoints(HashSet<Point>) - Static method in class com.xilinx.rapidwright.placer.blockplacer.SmallestEnclosingCircle
 
printSiteInstInfo(SiteInst, PrintStream) - Static method in class com.xilinx.rapidwright.design.DesignTools
 
printStats() - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractOverlapCache
 
printStats() - Method in class com.xilinx.rapidwright.placer.blockplacer.ExhaustiveOverlapCache
 
printStats() - Method in class com.xilinx.rapidwright.placer.blockplacer.RegionBasedOverlapCache
 
printSummary() - Method in class com.xilinx.rapidwright.tests.CodePerfTracker
 
printTruthTable(Cell) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
Prints out the truth table for the given INIT string on the cell.
printTruthTable(EDIFCellInst) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
Prints out the truth table for the given INIT string on the cell.
ProbeRouter - Class in com.xilinx.rapidwright.debug
 
ProbeRouter() - Constructor for class com.xilinx.rapidwright.debug.ProbeRouter
 
PROGRAM - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
prohibitGNDSources(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
Adds a PROHIBIT constraint for each LUT BEL supplying GND.
projectInputPinToINTNode(SitePinInst) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Gets a list of Node instances that connect an input SitePinInst instance to an INT Tile instance.
projectOutputPinToINTNode(SitePinInst) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Gets a Node instance that connects to an INT Tile instance from an output SitePinInst instance.
promptToContinue() - Static method in class com.xilinx.rapidwright.util.MessageGenerator
This will prompt the user to type y or n to either continue with a process or to exit.
PROPERTY - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
Property() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Property
 
PropertyMap() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap
 
ProtocolType - Enum in com.xilinx.rapidwright.design.noc
Enumerates AXI protocols
PseudoCell() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.PseudoCell
 
PseudoPIPHelper - Class in com.xilinx.rapidwright.device
Helper class for pseudo PIP types and getting site use information.
PYNQ_Z1 - Static variable in class com.xilinx.rapidwright.device.Device
The original Digilent PYNQ-Z1 board device
PYTHON_FOLDER_NAME - Static variable in class com.xilinx.rapidwright.util.FileTools
Python source folder name

Q

qImage - Variable in class com.xilinx.rapidwright.gui.TileScene
This is the actual image shown in the scene of the FPGA fabric
QUIET_MESSAGE - Static variable in class com.xilinx.rapidwright.device.Device
 
quietReflectiveAccessWarning() - Static method in class com.xilinx.rapidwright.device.Device
Work-around for pervasive illegal access warnings that cause runtime errors in output.

R

RAMLUTS_PER_CLE - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
randomizeLines(String, String, long) - Static method in class com.xilinx.rapidwright.router.SATRouter
 
rangeLimit - Variable in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
 
RapidStreamRoute - Class in com.xilinx.rapidwright.rwroute
Customized PartialRouter for the RapidStream use case.
RapidStreamRoute(Design, RWRouteConfig, Collection<SitePinInst>) - Constructor for class com.xilinx.rapidwright.rwroute.RapidStreamRoute
 
RapidWright - Class in com.xilinx.rapidwright.util
Deprecated.
Use instead. To be removed in 2024.1.0
RapidWright() - Constructor for class com.xilinx.rapidwright.util.RapidWright
Deprecated.
 
RAPIDWRIGHT_DATA_URL - Static variable in class com.xilinx.rapidwright.util.FileTools
Base URL for download data files
RAPIDWRIGHT_MINOR_VERSION - Static variable in class com.xilinx.rapidwright.device.Device
A minor revision number indicating small changes between Vivado releases
RAPIDWRIGHT_OPTIONS - Static variable in class com.xilinx.rapidwright.StandaloneEntrypoint
 
RAPIDWRIGHT_OPTIONS - Static variable in class com.xilinx.rapidwright.util.RapidWright
Deprecated.
 
RAPIDWRIGHT_QUARTER_VERSION - Static variable in class com.xilinx.rapidwright.device.Device
Quarter version matching a Vivado release
RAPIDWRIGHT_VARIABLE_NAME - Static variable in class com.xilinx.rapidwright.util.FileTools
Environment Variable Name which points to the RapidWright project on disk
RAPIDWRIGHT_VERSION - Static variable in class com.xilinx.rapidwright.device.Device
The current release of the tools (coincides with Vivado)
RAPIDWRIGHT_YEAR_VERSION - Static variable in class com.xilinx.rapidwright.device.Device
Year version matching a Vivado release
readAllStrings(PhysicalNetlist.PhysNetlist.Reader) - Static method in class com.xilinx.rapidwright.interchange.PhysNetlistReader
 
readBinaryEDIF(String) - Static method in class com.xilinx.rapidwright.edif.BinaryEDIFReader
Reads a binary EDIF (.bedf) file and creates a new EDIFNetlist object.
readBinaryEDIF(Path) - Static method in class com.xilinx.rapidwright.edif.BinaryEDIFReader
Reads a binary EDIF (.bedf) file and creates a new EDIFNetlist object.
readBinaryEDIF(Path) - Static method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
readBinaryEDIF(String) - Static method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
readBitstream(Path) - Static method in class com.xilinx.rapidwright.bitstream.Bitstream
Reads and parses a bitstream file (*.bit) into packets
readBitstream(String) - Static method in class com.xilinx.rapidwright.bitstream.Bitstream
Reads and parses a bitstream file (*.bit) into packets
readCheckpoint(Path) - Static method in class com.xilinx.rapidwright.design.Design
Reads a design checkpoint file into a new design object.
readCheckpoint(String) - Static method in class com.xilinx.rapidwright.design.Design
Reads a design checkpoint file into a new design object.
readCheckpoint(Path, CodePerfTracker) - Static method in class com.xilinx.rapidwright.design.Design
Reads a design checkpoint file into a new design object.
readCheckpoint(String, CodePerfTracker) - Static method in class com.xilinx.rapidwright.design.Design
Reads a design checkpoint file into a new design object.
readCheckpoint(Path, Path, CodePerfTracker) - Static method in class com.xilinx.rapidwright.design.Design
Reads a design checkpoint file into a new design object.
readCheckpoint(String, String, CodePerfTracker) - Static method in class com.xilinx.rapidwright.design.Design
Reads a design checkpoint file into a new design object.
readCheckpoint(Path, Path) - Static method in class com.xilinx.rapidwright.design.Design
Reads a design checkpoint file into a new design object.
readCheckpoint(String, String) - Static method in class com.xilinx.rapidwright.design.Design
Reads a design checkpoint file into a new design object.
readCheckpoint(Path, boolean) - Static method in class com.xilinx.rapidwright.design.Design
Reads a design checkpoint file into a new design object.
readCheckpoint(String, boolean) - Static method in class com.xilinx.rapidwright.design.Design
Reads a design checkpoint file into a new design object.
readCheckpoint(Path, Path, boolean, CodePerfTracker) - Static method in class com.xilinx.rapidwright.design.Design
Reads a design checkpoint file into a new design object.
readDesignHierarchy(Design, Input) - Static method in class com.xilinx.rapidwright.design.ModuleCache
 
readEdifAndXdefInParallel() - Static method in class com.xilinx.rapidwright.design.Design
Check if Design.readCheckpoint(Path) is encouraged to read Edif and XDEF (placement and routing information) in parallel.
readEDIFCell(Input, String[], EDIFLibrary, EDIFNetlist) - Static method in class com.xilinx.rapidwright.edif.BinaryEDIFReader
Reads and creates a new EDIFCell from the Kryo-based input stream
readEdifFile(Path) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
readEdifFile(Path, int) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
readEdifFile(String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
readEdifFromZipFile(String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
readFromCompactFile(String, EDIFNetlist) - Static method in class com.xilinx.rapidwright.design.ModuleCache
Loads the module from memory and is stored in this object.
readHashMap(Input, Integer[]) - Static method in class com.xilinx.rapidwright.util.FileTools
 
readImplGuide(String) - Static method in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
readIntArray(Input) - Static method in class com.xilinx.rapidwright.util.FileTools
 
readInterchangeDesign(String) - Static method in class com.xilinx.rapidwright.interchange.Interchange
Reads an FPGA Interchange Format design from a specified file.
readInterchangeDesign(Path) - Static method in class com.xilinx.rapidwright.interchange.Interchange
Reads an FPGA Interchange Format design from a specified file.
readInterchangeDesign(String, String, String, boolean, CodePerfTracker) - Static method in class com.xilinx.rapidwright.interchange.Interchange
Reads a set of existing FPGA Interchange files and returns a new design.
readInterchangeFile(String, ReaderOptions) - Static method in class com.xilinx.rapidwright.interchange.Interchange
Common method used to read Interchange files
readLogNetlist(String) - Static method in class com.xilinx.rapidwright.interchange.LogNetlistReader
Reads Cap'n Proto serialized netlist into a RapidWright netlist in memory, with macros expanded.
readLogNetlist(String, boolean) - Static method in class com.xilinx.rapidwright.interchange.LogNetlistReader
Reads Cap'n Proto serialized netlist into a RapidWright netlist in memory, with macros expanded.
readLogNetlist(LogicalNetlist.Netlist.Reader, boolean) - Method in class com.xilinx.rapidwright.interchange.LogNetlistReader
Reads an Interchange netlist from Cap'n Proto reader.
readLogNetlist(LogicalNetlist.Netlist.Reader, boolean, boolean) - Method in class com.xilinx.rapidwright.interchange.LogNetlistReader
Reads an Interchange netlist from Cap'n Proto reader.
readLogNetlist(LogicalNetlist.Netlist.Reader, boolean, boolean, CodePerfTracker) - Method in class com.xilinx.rapidwright.interchange.LogNetlistReader
Reads an Interchange netlist from Cap'n Proto reader.
readModuleImpls(Input, EDIFNetlist) - Static method in class com.xilinx.rapidwright.design.ModuleCache
 
readObjectFromKryoFile(String) - Static method in class com.xilinx.rapidwright.util.FileTools
 
readObjectFromKryoFile(Path) - Static method in class com.xilinx.rapidwright.util.FileTools
 
readObjectFromKryoFile(String, Class<T>) - Static method in class com.xilinx.rapidwright.util.FileTools
 
readObjectFromKryoFile(Path, Class<T>) - Static method in class com.xilinx.rapidwright.util.FileTools
 
readObjectFromKryoFile(InputStream, Class<T>) - Static method in class com.xilinx.rapidwright.util.FileTools
 
readObjectFromKryoFile(InputStream) - Static method in class com.xilinx.rapidwright.util.FileTools
 
readPhysNetlist(String) - Static method in class com.xilinx.rapidwright.interchange.PhysNetlistReader
 
readPhysNetlist(String, EDIFNetlist) - Static method in class com.xilinx.rapidwright.interchange.PhysNetlistReader
 
readProbeRequestFile(String) - Static method in class com.xilinx.rapidwright.debug.ProbeRouter
 
readShortArray(Input) - Static method in class com.xilinx.rapidwright.util.FileTools
 
readStoredModule(String, String) - Static method in class com.xilinx.rapidwright.ipi.BlockCreator
Read the stored module from disk.
readString(DataInputStream) - Static method in class com.xilinx.rapidwright.util.FileTools
 
readStringArray(Input) - Static method in class com.xilinx.rapidwright.util.FileTools
 
rect - Variable in class com.xilinx.rapidwright.gui.UiPBlock
 
RED - Static variable in class com.xilinx.rapidwright.gui.HMTile
 
References - Class in com.xilinx.rapidwright.interchange
 
References() - Constructor for class com.xilinx.rapidwright.interchange.References
 
References.ImplementationType - Enum in com.xilinx.rapidwright.interchange
 
References.ReferenceType - Enum in com.xilinx.rapidwright.interchange
 
References.Schemas - Class in com.xilinx.rapidwright.interchange
 
REG_ADDR_WIDTH - Static variable in enum com.xilinx.rapidwright.bitstream.RegisterType
The number of bits in the packet header for register type
RegionBasedOverlapCache<PlacementT,ModuleInstT extends AbstractModuleInst<?,PlacementT,? super ModuleInstT>> - Class in com.xilinx.rapidwright.placer.blockplacer
Optimized Detection of overlaps between modules This divides the fabric into square regions of a given side length.
RegionBasedOverlapCache(Device, List<? extends ModuleInstT>, int) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.RegionBasedOverlapCache
 
RegionBasedOverlapCache(Device, List<? extends ModuleInstT>) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.RegionBasedOverlapCache
 
RegisterType - Enum in com.xilinx.rapidwright.bitstream
Enumeration of all configuration registers
RELEASE - Static variable in class com.xilinx.rapidwright.util.Installer
 
releaseDeviceReferences() - Static method in class com.xilinx.rapidwright.device.Device
Clears the singleton map, thus deleting any internal references to the device objects.
RelocatableTileRectangle - Class in com.xilinx.rapidwright.design
A TileRectangle that is relocatable.
RelocatableTileRectangle(Tile) - Constructor for class com.xilinx.rapidwright.design.RelocatableTileRectangle
 
RelocatableTileRectangle(Tile, Tile, Tile, Tile) - Constructor for class com.xilinx.rapidwright.design.RelocatableTileRectangle
 
RelocatableTileRectangle() - Constructor for class com.xilinx.rapidwright.design.RelocatableTileRectangle
 
relocate(Design, String, int, int) - Static method in class com.xilinx.rapidwright.design.tools.RelocationTools
 
relocate(Design, String, int, int, Set<SiteTypeEnum>) - Static method in class com.xilinx.rapidwright.design.tools.RelocationTools
Relocate all SiteInsts (and all associated PIPs) belonging to the logical Cell at instanceName in-place by tileColOffset/tileRowOffset tiles.
relocate(Design, PBlock, int, int) - Static method in class com.xilinx.rapidwright.design.tools.RelocationTools
Relocate all SiteInsts (and PIPs) within the Pblock in-place by tileColOffset/tileRowOffset tiles.
relocate(Design, Collection<SiteInst>, int, int) - Static method in class com.xilinx.rapidwright.design.tools.RelocationTools
Relocate all given SiteInsts and PIPs in-place by tileColOffset/tileRowOffset tiles.
relocate(Bitstream, Series, int) - Static method in class com.xilinx.rapidwright.util.RelocateBitstreamByRow
Relocate the given partial bitstream by the given number of clock region rows.
RelocateBitstreamByRow - Class in com.xilinx.rapidwright.util
Relocate a partial bitstream by the specified number of rows for an UltraScale/UltraScale+ device.
RelocateBitstreamByRow() - Constructor for class com.xilinx.rapidwright.util.RelocateBitstreamByRow
 
RelocateHierarchy - Class in com.xilinx.rapidwright.examples
Given an input DCP, a hierarchy prefix (empty string to match entire design) and tile column/row offsets, move all matching cells (and the PIPs that connect between such cells) by this tile offset.
RelocateHierarchy() - Constructor for class com.xilinx.rapidwright.examples.RelocateHierarchy
 
relocateModuleInsts(Design, Module, String, List<Pair<String, String>>) - Static method in class com.xilinx.rapidwright.util.BlackboxPopulator
Fill some black boxes of the given design with the given implementation.
RelocationTools - Class in com.xilinx.rapidwright.design.tools
A collection of tools to help relocate designs.
RelocationTools() - Constructor for class com.xilinx.rapidwright.design.tools.RelocationTools
 
remove(EDIFPortInst) - Method in class com.xilinx.rapidwright.edif.EDIFPortInstList
 
remove(EDIFCellInst, String) - Method in class com.xilinx.rapidwright.edif.EDIFPortInstList
 
remove() - Method in class com.xilinx.rapidwright.gui.NumberedHighlightedTile
 
removeBELAttribute(BEL, String) - Method in class com.xilinx.rapidwright.design.SiteConfig
 
removeBlock(String) - Method in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
removeBlocksWithoutPBlocks() - Method in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
removeCell(String) - Method in class com.xilinx.rapidwright.design.Design
Removes the cell by its hierarchical name.
removeCell(Cell) - Method in class com.xilinx.rapidwright.design.Design
Removes the cell of the same name
removeCell(BEL) - Method in class com.xilinx.rapidwright.design.SiteInst
Removes the cell from the specified BEL in this site instance
removeCell(Design, List<EDIFHierCellInst>, Map<Net, Set<SitePinInst>>) - Static method in class com.xilinx.rapidwright.eco.ECOTools
Given a list of EDIFHierCellInst objects, remove these cell instances from the design.
removeCell(EDIFCell) - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
Removes the cell from the library.
removeCell(String) - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
Removes the cell by name
removeCellInst(EDIFCellInst) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
removeCellInst(String) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
removeCellPath(Design, List<String>, Map<Net, Set<SitePinInst>>) - Static method in class com.xilinx.rapidwright.eco.ECOTools
Given a list of strings containing the hierarchical path to cell instances, remove these instances from the design.
removeConnectedRouting(Net, Node) - Static method in class com.xilinx.rapidwright.design.DesignTools
Examines the routing of a net and will remove all parts of the routing that connect to the provided node.
removeFileExtension(String) - Static method in class com.xilinx.rapidwright.util.FileTools
Takes a file name and removes everything after the last '.' inclusive
removeInst(SiteInst) - Method in class com.xilinx.rapidwright.design.ModuleInst
 
removeInternalPortMapEntry(String) - Method in class com.xilinx.rapidwright.edif.EDIFCell
Removes the entry within the cell internal net map (when removing a port on a cell).
removeLastBlock() - Method in class com.xilinx.rapidwright.placer.blockplacer.Move2
 
removeLastSeparator(String) - Static method in class com.xilinx.rapidwright.util.StringTools
Removes any trailing '/' (File.separator) characters from file paths.
removeLibrary(String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
removeNet(String) - Method in class com.xilinx.rapidwright.design.Design
Removes a net from the design
removeNet(Net) - Method in class com.xilinx.rapidwright.design.Design
Removes a net from the design and associated routing.
removeNet(String) - Method in class com.xilinx.rapidwright.design.Module
Removes a net from the design
removeNet(Net) - Method in class com.xilinx.rapidwright.design.Module
Removes a net from the design
removeNet(EDIFNet) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
removeNet(String) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
removeOuterQuotes(String) - Static method in class com.xilinx.rapidwright.util.StringTools
Removes any double quote characters from the ends of the string.
removePartitionPin(PartitionPin) - Method in class com.xilinx.rapidwright.design.Design
Removes a partition pin from this design
removePin(SitePinInst) - Method in class com.xilinx.rapidwright.design.Net
Removes a pin from the list of pins in the net.
removePin(SitePinInst, boolean) - Method in class com.xilinx.rapidwright.design.Net
Removes a pin from the list of pins in the net.
removePin(SitePinInst) - Method in class com.xilinx.rapidwright.design.SiteInst
Removes a pin from this instance.
removePinMapping(String) - Method in class com.xilinx.rapidwright.design.Cell
Removes the pin mapping based on the physical pin name
removePIP(PIP) - Method in class com.xilinx.rapidwright.design.Net
Removes a PIP from the net.
removePort(EDIFPort) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
removePortInst(EDIFPortInst) - Method in class com.xilinx.rapidwright.edif.EDIFNet
Removes the port instance provided from the net.
removePortInst(EDIFCellInst, String) - Method in class com.xilinx.rapidwright.edif.EDIFNet
Removes the port instance specified from the net.
removeProperty(String) - Method in class com.xilinx.rapidwright.edif.EDIFPropertyObject
Convenience method to remove a property
removeSiteInst(SiteInst) - Method in class com.xilinx.rapidwright.design.Design
This method carefully removes an instance in a design with its pins and possibly nets.
removeSiteInst(SiteInst, boolean) - Method in class com.xilinx.rapidwright.design.Design
This method carefully removes an instance in a design with its pins and possibly nets.
removeSiteInst(String) - Method in class com.xilinx.rapidwright.design.Module
This method carefully removes an instance in a design with its pins and possibly nets.
removeSiteInst(SiteInst) - Method in class com.xilinx.rapidwright.design.Module
This method carefully removes an instance in a design with its pins and possibly nets.
removeSitePinInst(SitePinInst) - Method in class com.xilinx.rapidwright.design.Port
 
removeSource() - Method in class com.xilinx.rapidwright.design.Net
Removes the source of the net.
removeTimingPath(GraphPath<TimingVertex, TimingEdge>) - Method in class com.xilinx.rapidwright.timing.TimingGraph
Removes a GraphPath from the TimingGraph.
removeUnusedCellsFromAllWorkLibraries() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Removals all unused cells from a netlist from any work library (all except hdi_primitives)
removeUnusedCellsFromWorkLibrary() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
rename(String) - Method in class com.xilinx.rapidwright.design.Net
Updates the physical net to the name provided.
RENAME - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
rename(String) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
rename(String) - Method in class com.xilinx.rapidwright.edif.EDIFNet
 
renameCellInst(EDIFCellInst, String) - Method in class com.xilinx.rapidwright.edif.EDIFCell
Renames the provided instance i with newName.
renameFile(String, String) - Static method in class com.xilinx.rapidwright.util.FileTools
 
renameNetlistAndTopCell(String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
renameSiteInst(SiteInst, String) - Method in class com.xilinx.rapidwright.design.Design
Renames a SiteInst, checks if an existing site already has the new desired name.
replace(Bitstream, List<Integer>, List<Integer>) - Method in class com.xilinx.rapidwright.util.ReplaceFrameData
Replace "NO OP" bits to the given config rows and columns of the given bitstream.
replaceAll(UnaryOperator<Module>) - Method in class com.xilinx.rapidwright.design.ModuleImpls
 
replaceDir(Path, Path) - Static method in class com.xilinx.rapidwright.util.FileTools
 
replaceEDIFinDCP(String, String) - Static method in class com.xilinx.rapidwright.design.Design
Replaces the existing EDIF file inside a DCP with the one provided and ensures that it will be loaded when the DCP is read by Vivado.
replaceEDIFinDCP(String, String, String) - Static method in class com.xilinx.rapidwright.design.Design
Create a new DCP but overwriting the EDIF file from the input DCP with the one provided and ensures that it will be loaded when the DCP is read by Vivado.
ReplaceEDIFInDCP - Class in com.xilinx.rapidwright.util
Convenience utility to enable updating a DCP's EDIF file from the command line.
ReplaceEDIFInDCP() - Constructor for class com.xilinx.rapidwright.util.ReplaceEDIFInDCP
 
replaceExtension(Path, String) - Static method in class com.xilinx.rapidwright.util.FileTools
Replaces the file extension of the provided file name.
replaceForExamplePlatform(Bitstream) - Method in class com.xilinx.rapidwright.util.ReplaceFrameData
Replace "NO OP" bits on the bitstream according to the given example platform.
ReplaceFrameData - Class in com.xilinx.rapidwright.util
Replace or extract a section of a partial bitstream to be used in another bitstream.
ReplaceFrameData() - Constructor for class com.xilinx.rapidwright.util.ReplaceFrameData
 
replaceSource(SitePinInst) - Method in class com.xilinx.rapidwright.design.Net
Replaces the current source with the new source and adds it to the pin list in the net.
replaceXY(String, int, int) - Static method in class com.xilinx.rapidwright.design.blocks.PBlockRange
 
REPO - Static variable in class com.xilinx.rapidwright.util.Installer
 
repopulateNetlistOfModuleInst(ModuleInst, EDIFNetlist) - Method in class com.xilinx.rapidwright.design.Design
For a module instance, inserts the logical netlist into the main EDIF netlist.
repopulateNetlistOfModuleInst(String, EDIFNetlist) - Method in class com.xilinx.rapidwright.design.Design
For a module instance, inserts the logical netlist into the main EDIF netlist.
REPORT_ROUTE_STATUS - Static variable in class com.xilinx.rapidwright.util.VivadoTools
 
REPORT_UNCONNECTED - Static variable in class com.xilinx.rapidwright.ipi.BlockStitcher
 
ReportDevicePerformance - Class in com.xilinx.rapidwright.tests
Reports the runtime and memory usage on a handful of devices.
ReportDevicePerformance() - Constructor for class com.xilinx.rapidwright.tests.ReportDevicePerformance
 
reportDifferences(RouteStatus) - Method in class com.xilinx.rapidwright.util.RouteStatus
 
reportRouteStatus(Design) - Static method in class com.xilinx.rapidwright.util.VivadoTools
Run Vivado's `report_route_status` command on the provided Design object and return its result as a ReportRouteStatusResult object.
reportRouteStatus(Design, String) - Static method in class com.xilinx.rapidwright.util.VivadoTools
Run Vivado's `report_route_status` command on the named net inside the provided Design object and return its specific route status (e.g.
reportRouteStatus(Path) - Static method in class com.xilinx.rapidwright.util.VivadoTools
Run Vivado's `report_route_status` command on the provided DCP (which is assumed to be unencrypted) path and return its result as a ReportRouteStatusResult object.
reportRouteStatus(Path, boolean) - Static method in class com.xilinx.rapidwright.util.VivadoTools
Run Vivado's `report_route_status` command on the provided DCP path and return its result as a ReportRouteStatusResult object.
reportRouteStatus(Path, Path, boolean) - Static method in class com.xilinx.rapidwright.util.VivadoTools
Run Vivado's `report_route_status` command on the provided DCP path and return its result as a ReportRouteStatusResult object.
reportRouteStatus(String, Path, Path, boolean) - Static method in class com.xilinx.rapidwright.util.VivadoTools
Run Vivado's `report_route_status` command on the named net inside the provided DCP path and return its route status.
ReportRouteStatusResult - Class in com.xilinx.rapidwright.util
 
ReportRouteStatusResult(List<String>) - Constructor for class com.xilinx.rapidwright.util.ReportRouteStatusResult
Analyze a log file produced by Vivado's `report_route_status` command.
ReportTimingExample - Class in com.xilinx.rapidwright.examples
Basic example to show reading in a placed and routed DCP and calculating the worst case data path delay.
ReportTimingExample() - Constructor for class com.xilinx.rapidwright.examples.ReportTimingExample
 
reportValidPlacementStats() - Static method in class com.xilinx.rapidwright.design.Module
A debug reporting mechanism that will print stats on reasons for attempted placement failures.
reserveCriticalNodes() - Method in class com.xilinx.rapidwright.router.Router
Certain input pins in a switch box can also serve as a bounce.
reserveCriticalNodes(ArrayList<SitePinInst>) - Method in class com.xilinx.rapidwright.router.Router
 
reset() - Method in class com.xilinx.rapidwright.bitstream.CRC
Resets or clears the current CRC state.
resetArrivalTime() - Method in class com.xilinx.rapidwright.timing.TimingVertex
 
resetBoundingBox() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort.InstPort
 
resetCellInstIOStandardFallbackMap() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Reset the fallback map used by EDIFNetlist.getIOStandards(com.xilinx.rapidwright.edif.EDIFCellInst) so that it may be re-initialized upon next use.
resetChildren() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Clears the children of this node so that it can be regenerated.
resetCriticality() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
resetDiffCount() - Method in class com.xilinx.rapidwright.design.compare.DesignComparator
 
resetExpansion() - Method in class com.xilinx.rapidwright.rwroute.RouteNodeGraph
Resets the expansion history.
resetParentNetMap() - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Resets the internal parent net map of the netlist.
resetRequiredAndArrivalTime() - Method in class com.xilinx.rapidwright.timing.TimingGraph
Reset the required and arrival time to be null
resetRequiredTime() - Method in class com.xilinx.rapidwright.timing.TimingVertex
 
resetRoute() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
resolveNetNameFromSiteWire(SiteInst, int) - Static method in class com.xilinx.rapidwright.design.DesignTools
Examines a site wire in a populated site inst for all the connected BELPins for cells occupying those BELs.
resolveSiteRoutingFromInContextPorts(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
When importing designs that have been taken from an in-context implementation (write_checkpoint -cell), often Vivado will write out residual nets that do not necessarily exist in the module or are retaining GND/VCC routing from the parent context.
reSortList() - Method in class com.xilinx.rapidwright.edif.EDIFPortInstList
Invokes this list to be re sorted (it maintains a sorted list upon add).
restoreBrackets - Variable in class com.xilinx.rapidwright.edif.compare.EDIFNetlistComparator
Setting this to true will attempt to account for legalized square brackets replaced by underscores around an indexed suffix on a Library name.
restoreUndo() - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractPath
 
restoreUndo() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsPath
 
restoreUndo() - Method in class com.xilinx.rapidwright.placer.blockplacer.Path
 
RESULT_NAME - Static variable in class com.xilinx.rapidwright.examples.ArithmeticGenerator
 
RESULT_NAME - Static variable in class com.xilinx.rapidwright.examples.MultGenerator
 
RIGHT_PAREN - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
roundDownTo(double, double) - Static method in class com.xilinx.rapidwright.util.performance_evaluation.PerformanceEvaluation
 
roundTo(double, double) - Static method in class com.xilinx.rapidwright.util.performance_evaluation.PerformanceEvaluation
 
roundUpTo(double, double) - Static method in class com.xilinx.rapidwright.util.performance_evaluation.PerformanceEvaluation
 
routableNets - Variable in class com.xilinx.rapidwright.util.ReportRouteStatusResult
 
route() - Method in class com.xilinx.rapidwright.router.SATRouter
Runs the SAT solver to route the current design and problem configuration.
route() - Method in class com.xilinx.rapidwright.rwroute.RWRoute
Routes the design in a few routing phases and times those phases.
routeAlternativeOutputSitePin(Net, SitePinInst) - Static method in class com.xilinx.rapidwright.design.DesignTools
Routes (within the site) the alternate site output pin for SLICE dual-output scenarios.
RouteBranch() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch
 
RouteBranchNode - Class in com.xilinx.rapidwright.interchange
 
RouteBranchNode(SitePinInst) - Constructor for class com.xilinx.rapidwright.interchange.RouteBranchNode
 
RouteBranchNode(SiteBELPin) - Constructor for class com.xilinx.rapidwright.interchange.RouteBranchNode
 
RouteBranchNode(SitePIP) - Constructor for class com.xilinx.rapidwright.interchange.RouteBranchNode
 
RouteBranchNode(PIP) - Constructor for class com.xilinx.rapidwright.interchange.RouteBranchNode
 
RouteBranchNode(Site, SitePIP, boolean) - Constructor for class com.xilinx.rapidwright.interchange.RouteBranchNode
 
RouteBranchNode(Site, BELPin, boolean) - Constructor for class com.xilinx.rapidwright.interchange.RouteBranchNode
 
routeBUFGToNearestRoutingTrack(Net) - Static method in class com.xilinx.rapidwright.router.UltraScaleClockRouting
 
routeCentroidToSinkClkRegion(RouteNode, boolean) - Method in class com.xilinx.rapidwright.router.Router
 
routeCentroidToVerticalDistributionLines(Net, RouteNode, Collection<ClockRegion>, Function<Node, NodeStatus>) - Static method in class com.xilinx.rapidwright.router.UltraScaleClockRouting
Routes the vertical distribution path and generates a map between each target clock region and the vertical distribution line to start from.
routeClkWithPartialRoutes(Net, Map<String, List<String>>, Device, Function<Node, NodeStatus>) - Static method in class com.xilinx.rapidwright.rwroute.GlobalSignalRouting
Routes a clk enable net with input data.
routeClockNet() - Method in class com.xilinx.rapidwright.router.Router
 
routeClockTrees(boolean, SitePinInst) - Method in class com.xilinx.rapidwright.router.Router
 
routeControlSignalsInLagunaSite(Cell, Net, Net, Net) - Static method in class com.xilinx.rapidwright.examples.SLRCrosserGenerator
Routes the control signals (CLK,CE,RST) for a laguna flop within its site.
ROUTED_DCP_SUFFIX - Static variable in class com.xilinx.rapidwright.ipi.BlockCreator
 
ROUTED_EDIF_SUFFIX - Static variable in class com.xilinx.rapidwright.ipi.BlockCreator
 
ROUTED_XPN_SUFFIX - Static variable in class com.xilinx.rapidwright.ipi.BlockCreator
 
routeDesign() - Method in class com.xilinx.rapidwright.router.Router
This the central method for routing the design in this class.
routeDesignFullNonTimingDriven(Design) - Static method in class com.xilinx.rapidwright.rwroute.RWRoute
Routes a design in the full non-timing-driven routing mode.
routeDesignFullTimingDriven(Design) - Static method in class com.xilinx.rapidwright.rwroute.RWRoute
Routes a design in the full timing-driven routing mode.
routeDesignPartialNonTimingDriven(Design, Collection<SitePinInst>) - Static method in class com.xilinx.rapidwright.rwroute.PartialRouter
Routes a design in the partial non-timing-driven routing mode.
routeDesignPartialNonTimingDriven(Design, Collection<SitePinInst>, boolean) - Static method in class com.xilinx.rapidwright.rwroute.PartialRouter
Routes a design in the partial non-timing-driven routing mode.
routeDesignPartialTimingDriven(Design, Collection<SitePinInst>, boolean) - Static method in class com.xilinx.rapidwright.rwroute.PartialRouter
Routes a design in the partial timing-driven routing mode.
routeDesignRapidStream(Design) - Static method in class com.xilinx.rapidwright.rwroute.RapidStreamRoute
 
routeDesignRapidStream(Design, String) - Static method in class com.xilinx.rapidwright.rwroute.RapidStreamRoute
Routes a design for the RapidStream flow.
routeDesignWithUserDefinedArguments(Design, String[]) - Static method in class com.xilinx.rapidwright.rwroute.PartialRouter
Partially routes a Design instance; specifically, all nets with no routing PIPs already present.
routeDesignWithUserDefinedArguments(Design, String[], Collection<SitePinInst>, boolean) - Static method in class com.xilinx.rapidwright.rwroute.PartialRouter
Partially routes a Design instance; specifically, all nets with no routing PIPs already present.
routeDesignWithUserDefinedArguments(Design, String[]) - Static method in class com.xilinx.rapidwright.rwroute.RWRoute
Routes a Design instance.
routeDirectConnection(Connection) - Static method in class com.xilinx.rapidwright.rwroute.RouterHelper
Routes and assigns nodes to a direct connection, e.g.
routeDistributionToLCBs(Net, List<RouteNode>, Set<RouteNode>) - Static method in class com.xilinx.rapidwright.router.UltraScaleClockRouting
Routes from distribution lines to the leaf clock buffers (LCBs)
RoutedTag() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag
 
RoutedTagPin() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin
 
RoutedTagPort() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort
 
RouteFixer - Class in com.xilinx.rapidwright.rwroute
A graph-based tool based on Depth-first Search to fix illegal routes, i.e.
RouteFixer(NetWrapper, RouteNodeGraph) - Constructor for class com.xilinx.rapidwright.rwroute.RouteFixer
 
routeIndirectConnections() - Method in class com.xilinx.rapidwright.rwroute.RWRoute
Routes indirect connections iteratively.
routeIntraSiteNet(Net, BELPin, BELPin) - Method in class com.xilinx.rapidwright.design.SiteInst
Routes an intra-site net (or the portion of a net that is inside this site).
routeLCBsToSinks(Net, Map<RouteNode, List<SitePinInst>>, Function<Node, NodeStatus>) - Static method in class com.xilinx.rapidwright.router.UltraScaleClockRouting
 
routeNet() - Method in class com.xilinx.rapidwright.router.Router
This method routes all the connections within a net.
routeNewLCB(SitePinInst) - Static method in class com.xilinx.rapidwright.examples.IsolateLeafClkBuffer
 
RouteNode - Class in com.xilinx.rapidwright.router
This class represents the basic routing element, a node or wire.
RouteNode() - Constructor for class com.xilinx.rapidwright.router.RouteNode
Empty constructor, sets tile and wires to null.
RouteNode(Tile, int) - Constructor for class com.xilinx.rapidwright.router.RouteNode
A quick population constructor, parent is set to null, and the level is 0.
RouteNode(Wire, RouteNode) - Constructor for class com.xilinx.rapidwright.router.RouteNode
Constructor common for routing expansion
RouteNode(Tile, int, RouteNode, int) - Constructor for class com.xilinx.rapidwright.router.RouteNode
A quick population constructor.
RouteNode(SitePinInst) - Constructor for class com.xilinx.rapidwright.router.RouteNode
 
RouteNode(Node) - Constructor for class com.xilinx.rapidwright.router.RouteNode
 
RouteNode(String, Device) - Constructor for class com.xilinx.rapidwright.router.RouteNode
Convenience constructor that takes the Node name "<Tile>/<Wire>" and creates the node.
RouteNode - Class in com.xilinx.rapidwright.rwroute
A RouteNode Object corresponds to a vertex of the routing resource graph.
RouteNodeGraph - Class in com.xilinx.rapidwright.rwroute
Encapsulation of RWRoute's routing resource graph.
RouteNodeGraph(RuntimeTracker, Design) - Constructor for class com.xilinx.rapidwright.rwroute.RouteNodeGraph
 
RouteNodeGraphTimingDriven - Class in com.xilinx.rapidwright.rwroute
Extends RouteNodeGraph with timing-driven capabilities.
RouteNodeGraphTimingDriven(RuntimeTracker, Design, DelayEstimatorBase, boolean) - Constructor for class com.xilinx.rapidwright.rwroute.RouteNodeGraphTimingDriven
 
RouteNodeInfo - Class in com.xilinx.rapidwright.rwroute
 
RouteNodeType - Enum in com.xilinx.rapidwright.rwroute
 
routeOverlaps - Variable in class com.xilinx.rapidwright.util.performance_evaluation.TimingResults
 
routePinsReEntrant(ArrayList<SitePinInst>, boolean) - Method in class com.xilinx.rapidwright.router.Router
This is a specialized routing function that will only route the pins given.
Router - Class in com.xilinx.rapidwright.router
Basic router for routing inter-site nets.
Router(Design) - Constructor for class com.xilinx.rapidwright.router.Router
 
RouterDirective - Enum in com.xilinx.rapidwright.util
These are the directives found as options to 'route_design -directive', Created on: Mar 20, 2018
RouterHelper - Class in com.xilinx.rapidwright.rwroute
A collection of supportive methods for the router.
RouterHelper() - Constructor for class com.xilinx.rapidwright.rwroute.RouterHelper
 
routerTimer - Variable in class com.xilinx.rapidwright.timing.TimingManager
 
RouteRun(Path, double, boolean, Path, String) - Constructor for class com.xilinx.rapidwright.util.performance_evaluation.PerformanceEvaluation.RouteRun
 
RouteSegment() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment
 
routeSite() - Method in class com.xilinx.rapidwright.design.SiteInst
Routes all the nets inside of a site.
routeSites() - Method in class com.xilinx.rapidwright.design.Design
Iterates through all non-empty site instances and invokes the routeSite() method on each one.
routeStaticNet() - Method in class com.xilinx.rapidwright.router.Router
 
routeStaticNet(Net, Function<Node, NodeStatus>, Design, RouteThruHelper) - Static method in class com.xilinx.rapidwright.rwroute.GlobalSignalRouting
Routes a static net (GND or VCC).
routeStaticNets() - Method in class com.xilinx.rapidwright.router.Router
 
RouteStatus - Class in com.xilinx.rapidwright.util
Utility class to help CompareRouteStatusReports.
RouteStatus() - Constructor for class com.xilinx.rapidwright.util.RouteStatus
 
ROUTETHRU_FOLDER_NAME - Static variable in class com.xilinx.rapidwright.util.FileTools
Location of cached routethru helper files
RouteThruHelper - Class in com.xilinx.rapidwright.router
Example of how to check if a node to node connection is a routethru
RouteThruHelper(Device) - Constructor for class com.xilinx.rapidwright.router.RouteThruHelper
 
routeToCentroid(RouteNode, boolean) - Method in class com.xilinx.rapidwright.router.Router
 
routeToCentroid(Net, RouteNode, ClockRegion) - Static method in class com.xilinx.rapidwright.router.UltraScaleClockRouting
Routes a clock from a routing track to a transition point called the centroid where the clock fans out and transitions from clock routing tracks to clock distribution tracks
routeToCentroid(Net, RouteNode, ClockRegion, boolean, boolean) - Static method in class com.xilinx.rapidwright.router.UltraScaleClockRouting
Routes a clock from a routing track to a transition point where the clock.
routeToCentroidNode(Net, RouteNode, Node) - Static method in class com.xilinx.rapidwright.router.UltraScaleClockRouting
Routes a clock from a routing track to a given transition point called the centroid
routeToHorizontalDistributionLines(Net, RouteNode, Collection<ClockRegion>, boolean, Function<Node, NodeStatus>) - Static method in class com.xilinx.rapidwright.router.UltraScaleClockRouting
Routes from a GLOBAL_VERTICAL_ROUTE to horizontal distribution lines.
routeToLagunaRx(Net) - Static method in class com.xilinx.rapidwright.examples.SLRCrosserGenerator
Routes the current incomplete net to its corresponding RX site.
routeToLCBs(Net, Map<ClockRegion, Set<RouteNode>>, Set<RouteNode>) - Static method in class com.xilinx.rapidwright.router.UltraScaleClockRouting
 
routeVerticalToHorizontalDistributionLines(Net, Map<ClockRegion, RouteNode>, Function<Node, NodeStatus>) - Static method in class com.xilinx.rapidwright.router.UltraScaleClockRouting
Routes from a vertical distribution centroid to destination horizontal distribution lines in the clock regions provided.
rows - Variable in class com.xilinx.rapidwright.gui.TileScene
Number of tile rows being referenced on the device
RTLStubGenerator - Class in com.xilinx.rapidwright.design
Generates Verilog or VHDL stub files to instantiate design checkpoint files.
RTLStubGenerator() - Constructor for class com.xilinx.rapidwright.design.RTLStubGenerator
 
run(Design, boolean) - Static method in class com.xilinx.rapidwright.design.drc.NetRoutesThruLutAtMostOnce
 
run(Design, boolean) - Method in class com.xilinx.rapidwright.design.DRC
 
run(Device) - Static method in class com.xilinx.rapidwright.util.BrowseDevice
 
run(double) - Method in class com.xilinx.rapidwright.util.performance_evaluation.PerformanceEvaluation
 
run() - Method in class com.xilinx.rapidwright.util.StreamGobbler
 
runAllToCompletion() - Method in class com.xilinx.rapidwright.util.JobQueue
 
runAllToCompletion(int) - Method in class com.xilinx.rapidwright.util.JobQueue
 
runCommand(String, boolean) - Static method in class com.xilinx.rapidwright.util.FileTools
A generic method to run a command from the system command line.
runCommand(String, boolean, String[], File) - Static method in class com.xilinx.rapidwright.util.FileTools
A generic method to run a command from the system command line.
runCommand(List<String>, String) - Static method in class com.xilinx.rapidwright.util.FileTools
A generic method to run a command from the system command line.
runCommand(List<String>) - Static method in class com.xilinx.rapidwright.util.Installer
 
runEvRouter() - Method in class com.xilinx.rapidwright.router.SATRouter
Executes evRouter outside of the RapidWright runtime.
RunSATRouterExample - Class in com.xilinx.rapidwright.examples
Small example that illustrates how to invoke the SAT router to route a small area of a design by providing a fully placed DCP and a pblock area constraint to the router.
RunSATRouterExample() - Constructor for class com.xilinx.rapidwright.examples.RunSATRouterExample
 
runTcl(Path, String, boolean) - Static method in class com.xilinx.rapidwright.util.VivadoTools
method to run a single Tcl command in vivado
runTcl(Path, Path, boolean) - Static method in class com.xilinx.rapidwright.util.VivadoTools
method to run a Tcl script in vivado
runTcl(Path, Path, boolean, String[], File) - Static method in class com.xilinx.rapidwright.util.VivadoTools
method to run a Tcl script in vivado
RuntimeTracker - Class in com.xilinx.rapidwright.util
A customized RuntimeTracker class, providing start and stop methods for recording total elapsed time of a process.
RuntimeTracker(String) - Constructor for class com.xilinx.rapidwright.util.RuntimeTracker
 
RuntimeTracker(String, short) - Constructor for class com.xilinx.rapidwright.util.RuntimeTracker
 
RuntimeTrackerTree - Class in com.xilinx.rapidwright.util
A RuntimeTrackerTree Object consists of RuntimeTracker Objects, providing methods to create a tree of runtime trackers for the runtime breakdown of a program.
RuntimeTrackerTree(String, boolean) - Constructor for class com.xilinx.rapidwright.util.RuntimeTrackerTree
 
runVivadoExtractTests() - Static method in class com.xilinx.rapidwright.interchange.PopulateMacroParamRules
 
runVivadoTasks(String, int) - Static method in class com.xilinx.rapidwright.ipi.BlockUpdater
 
RW_AUTO_GENERATE_READABLE_EDIF - Static variable in class com.xilinx.rapidwright.design.Design
Name of the environment variable that controls the auto generation of readable EDIF mechanism.
RW_DECOMPRESS_GZIPPED_EDIF_TO_DISK - Static variable in class com.xilinx.rapidwright.util.Params
Flag to have RapidWright decompress gzipped EDIF files to disk prior to parsing.
RW_DECOMPRESS_GZIPPED_EDIF_TO_DISK_NAME - Static variable in class com.xilinx.rapidwright.util.Params
 
RW_ENABLE_EDIF_BINARY_CACHING - Static variable in class com.xilinx.rapidwright.edif.EDIFTools
Flag to set a feature where any .edf file that is attempted to be loaded will check if an existing binary EDIF has already been generated and will load that instead (faster).
RW_PARALLEL - Static variable in class com.xilinx.rapidwright.util.ParallelismTools
Name of the environment variable to disable parallel processing, set RW_PARALLEL=0 to disable
RW_QUIET_MESSAGE - Static variable in class com.xilinx.rapidwright.device.Device
Name of the environment variable to quiet messages
RW_ZSTD_COMPRESSION_LEVEL - Static variable in class com.xilinx.rapidwright.util.Params
ZStandard compression effort level to use when compressing files.
RW_ZSTD_COMPRESSION_LEVEL_NAME - Static variable in class com.xilinx.rapidwright.util.Params
 
RW_ZSTD_DEFAULT_COMPRESSION_LEVEL - Static variable in class com.xilinx.rapidwright.util.Params
 
RWRoute - Class in com.xilinx.rapidwright.rwroute
RWRoute class provides the main methods for routing a design.
RWRoute(Design, RWRouteConfig) - Constructor for class com.xilinx.rapidwright.rwroute.RWRoute
 
RWRouteConfig - Class in com.xilinx.rapidwright.rwroute
A collection of customizable parameters for a RWRoute Object or a TimingAndWirelengthReport Object.
RWRouteConfig(String[]) - Constructor for class com.xilinx.rapidwright.rwroute.RWRouteConfig
Constructs a Configuration Object

S

sameSpotCounter - Variable in class com.xilinx.rapidwright.timing.TimingGroup
 
SAMPLE_DEPTH_KEYWORD - Static variable in class com.xilinx.rapidwright.debug.DesignInstrumentor
 
SATRouter - Class in com.xilinx.rapidwright.router
This class provides a RapidWright front-end usage wrapper for the Vivado-distributed executable evRouter.
SATRouter(Design, PBlock) - Constructor for class com.xilinx.rapidwright.router.SATRouter
Initialize the SAT router with a design and area constraint (pblock) to describe the routing problem.
SATRouter(Design, PBlock, boolean) - Constructor for class com.xilinx.rapidwright.router.SATRouter
Initialize the SAT router with a design and area constraint (pblock) to describe the routing problem.
SATRouter(Design, PBlock, Collection<Net>) - Constructor for class com.xilinx.rapidwright.router.SATRouter
Initializes the SAT router with a design, area constraint (pblock) and list of nets to route.
save(String) - Method in class com.xilinx.rapidwright.util.ReplaceFrameData
Save the "NO OP" frame data to a file
save_partial_dcp - Variable in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
 
saveAsPdf(TileScene, File) - Static method in class com.xilinx.rapidwright.gui.UiTools
 
saveAsSvg(TileScene, File) - Static method in class com.xilinx.rapidwright.gui.UiTools
 
saveToCompactFile(ModuleImpls, String) - Static method in class com.xilinx.rapidwright.design.ModuleCache
Saves all provided implementations of a module to the compact file format.
saveToFile(Object, String) - Static method in class com.xilinx.rapidwright.util.FileTools
Serialize the Object o to a the file specified by fileName.
saveUndo() - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractPath
 
saveUndo() - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsPath
 
saveUndo() - Method in class com.xilinx.rapidwright.placer.blockplacer.Path
 
sceneSize - Variable in class com.xilinx.rapidwright.gui.TileScene
Qt Size container for the scene
Schemas() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Schemas
 
Schemas() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.Schemas
 
Schemas() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.Schemas
 
Schemas() - Constructor for class com.xilinx.rapidwright.interchange.References.Schemas
 
searchVivadoLog(List<String>, String) - Static method in class com.xilinx.rapidwright.util.VivadoTools
method to search a vivado log for a specific key phrase
SEED - Variable in class com.xilinx.rapidwright.router.SATRouter
 
selected - Variable in class com.xilinx.rapidwright.design.blocks.GUIPBlock
 
selected - Variable in class com.xilinx.rapidwright.gui.GUIModuleInst
 
SENTINEL_DEFAULT - Static variable in class com.xilinx.rapidwright.design.Cell
 
Series - Enum in com.xilinx.rapidwright.device
Xilinx Architecture Series or Generations.
set(int, Module) - Method in class com.xilinx.rapidwright.design.ModuleImpls
 
setActivePackage(String) - Method in class com.xilinx.rapidwright.device.Device
Sets the active package for this device.
setAddEDIFAndMetadata(boolean) - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
setAddress(int) - Method in class com.xilinx.rapidwright.bitstream.Block
Updates the configuration block address as used in the Frame Address Register (FAR).
setAddress(int) - Method in class com.xilinx.rapidwright.bitstream.Frame
Sets the frame address for this frame.
setAllTargets(boolean) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
setAltBlockedSiteType(SiteTypeEnum) - Method in class com.xilinx.rapidwright.design.Cell
Set the alternative SiteTypeEnum for a blocked site
setAltCellName(String) - Method in class com.xilinx.rapidwright.design.AltPinMapping
 
setAltCellType(String) - Method in class com.xilinx.rapidwright.design.AltPinMapping
 
setAlternateSource(SitePinInst) - Method in class com.xilinx.rapidwright.design.Net
Allow the net to be set with an alternate source pin for dual output scenarios.
setAltPinsToPrimaryPins(StructList.Reader<DeviceResources.Device.ParentPins.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Builder
 
setAltSiteType(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
setAltSiteTypes(PrimitiveList.Int.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
setAltSourceRnode(RouteNode) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
setAlways(Void) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Builder
 
setAnchor(Site) - Method in class com.xilinx.rapidwright.design.Module
Sets the anchor instance for this module.
setAnchor(SiteInst) - Method in class com.xilinx.rapidwright.design.ModuleInst
Sets the anchor instance for this module instance.
setAnchorOffset() - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
 
setAnyBel(Void) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Builder
 
setAnyName(Void) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Builder
 
setAnyName(Void) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Builder
 
setArrivalTime(float) - Method in class com.xilinx.rapidwright.timing.TimingVertex
Sets the arrival time stored at this vertex.
setAutoGenerateReadableEdif(boolean) - Static method in class com.xilinx.rapidwright.design.Design
Set whether to automatically run Vivado during Design.readCheckpoint(Path) to generate readable EDIFs
setAutoIOBuffers(boolean) - Method in class com.xilinx.rapidwright.design.Design
Updates the design such that if a DCP is created and read into Vivado, if it is to automatically instantiate I/Os for top level ports not already connected to I/Os.
setBel(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELPin.Builder
 
setBel(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource.Builder
 
setBel(DeviceResources.Device.Constraints.BELLocation.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Builder
 
setBel(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Builder
 
setBel(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry.Builder
 
setBel(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PseudoCell.Builder
 
setBel(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
setBel(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBel.Builder
 
setBel(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBelPin.Builder
 
setBel(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Builder
 
setBel(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Builder
 
setBELFixed(boolean) - Method in class com.xilinx.rapidwright.design.Cell
Sets if the cell is fixed to the BEL or not.
setBelPin(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelPinEntry.Builder
 
setBelPin(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource.Builder
 
setBelpin(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Builder
 
setBelPin(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Builder
 
setBelPin(PhysicalNetlist.PhysNetlist.PhysBelPin.Reader) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder
 
setBelPins(StructList.Reader<DeviceResources.Device.Constraints.RoutedTagPin.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Builder
 
setBelPins(StructList.Reader<DeviceResources.Device.BELPin.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
setBels(TextList.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Builder
 
setBels(StructList.Reader<DeviceResources.Device.LutDefinitions.LutBel.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElement.Builder
 
setBels(StructList.Reader<DeviceResources.Device.BEL.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
setBels(PrimitiveList.Int.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeBelEntry.Builder
 
setBestConstant(DeviceResources.Device.ConstantType) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SitePinConstantExceptions.Builder
 
setBestConstantExceptions(StructList.Reader<DeviceResources.Device.Constants.SitePinConstantExceptions.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
setBit(int, int) - Method in class com.xilinx.rapidwright.bitstream.Frame
Sets the bit at the provided index to the specified value.
setBit(long, int) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
 
setBit(Void) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Builder
 
setBitSlice(PrimitiveList.Int.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
setBitstringValue(LogicalNetlist.Netlist.Bitstring.Reader) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Builder
 
setBlock0(ModuleInstT) - Method in class com.xilinx.rapidwright.placer.blockplacer.Move
 
setBlock1(ModuleInstT) - Method in class com.xilinx.rapidwright.placer.blockplacer.Move
 
setBlockName(String) - Method in class com.xilinx.rapidwright.timing.DSPTimingData
 
setBlockOpacity(int) - Method in class com.xilinx.rapidwright.gui.PBlockScene
 
setBlocks(List<UiPBlock>) - Method in class com.xilinx.rapidwright.gui.PBlockScene
 
setBlockType(int) - Method in class com.xilinx.rapidwright.bitstream.FAR
Updates the current address the FAR address to point to the block type provided
setBoolValue(boolean) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Builder
 
setBoundingBoxExtensionX(short) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets the initial bounding box extension range in the horizontal direction.
setBoundingBoxExtensionY(short) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets the initial bounding box extension range in the vertical direction.
setBranches(StructList.Reader<PhysicalNetlist.PhysNetlist.RouteBranch.Reader>) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.Builder
 
setBufferDelay(Site, int) - Method in class com.xilinx.rapidwright.design.Net
Sets the clock buffer delay at the referenced site to the value provided for the associated net/routing.
setBuffered20(boolean) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
setBuffered21(boolean) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
setBus(LogicalNetlist.Netlist.Bus.Reader) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Builder
 
setBusEnd(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bus.Builder
 
setBusStart(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bus.Builder
 
setCacheID(String) - Method in class com.xilinx.rapidwright.design.blocks.BlockGuide
 
setCapacitance(DeviceResources.Device.CornerModel.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming.Builder
 
setCapacitance(DeviceResources.Device.CornerModel.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Builder
 
setCategory(DeviceResources.Device.BELCategory) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Builder
 
setCategory(DeviceResources.Device.WireCategory) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireType.Builder
 
setCell(Cell) - Method in class com.xilinx.rapidwright.design.PinSwap
 
setCell(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Builder
 
setCell(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellInversion.Builder
 
setCell(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Builder
 
setCell(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Builder
 
setCell(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Builder
 
setCell(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Builder
 
setCell(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Builder
 
setCellBelMap(StructList.Reader<DeviceResources.Device.CellBelMapping.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setCellConstraints(StructList.Reader<DeviceResources.Device.Constraints.CellConstraint.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Builder
 
setCellDecls(StructList.Reader<LogicalNetlist.Netlist.CellDeclaration.Reader>) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
setCellInst(EDIFCellInst) - Method in class com.xilinx.rapidwright.design.AbstractModuleInst
 
setCellInst(EDIFCellInst) - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
setCellInst(EDIFCellInst, boolean) - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
Sets the corresponding cell instance for this port instance.
setCellInversions(StructList.Reader<DeviceResources.Device.CellInversion.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setCellList(StructList.Reader<LogicalNetlist.Netlist.Cell.Reader>) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
setCellName(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
setCellName(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysCell.Builder
 
setCellPin(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelPinEntry.Builder
 
setCellPin(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion.Builder
 
setCellPin(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Builder
 
setCellPins(StructList.Reader<DeviceResources.Device.CellPinInversion.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellInversion.Builder
 
setCells(TextList.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Builder
 
setCells(StructList.Reader<DeviceResources.Device.CellParameterDefinition.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinitions.Builder
 
setCellType(EDIFCell) - Method in class com.xilinx.rapidwright.edif.EDIFCellInst
Modify the cell being instantiated and update port refs on portInsts
setCellType(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellParameterDefinition.Builder
 
setCellType(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnections.Builder
 
setCellTypeRaw(EDIFCell) - Method in class com.xilinx.rapidwright.edif.EDIFCellInst
Forcibly modify the cell being instantiated without updating portInsts
setChipID(Integer) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setClkCostDistance(RouteNode, RouteNode) - Method in class com.xilinx.rapidwright.router.Router
 
setClkCostLevel(RouteNode) - Method in class com.xilinx.rapidwright.router.Router
 
setClkName(String) - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
setClkRouteTiming(String) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets the clock enable net timing data file.
setClockEdge(DeviceResources.Device.ClockEdge) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Builder
 
setClockName(String) - Method in class com.xilinx.rapidwright.timing.TimingVertex
This will be used in a future release to set the name of the clock associated with this vertex.
setClocks(HashMap<String, Float>) - Method in class com.xilinx.rapidwright.design.Module
Sets a map of clocks and their period constraints in nanoseconds.
setClockUncertaintyStep(double) - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
setClockUncertaintyValues(ArrayList<Double>) - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
setClockUncertaintyValues(String[]) - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
setCol(short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Builder
 
setColumn(int) - Method in class com.xilinx.rapidwright.bitstream.FAR
Updates the current column to which the FAR address is currently set
setCommand(String) - Method in class com.xilinx.rapidwright.util.Job
 
setCommonPins(StructList.Reader<DeviceResources.Device.CommonCellBelPinMaps.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Builder
 
setCompanionCell(Cell, String) - Method in class com.xilinx.rapidwright.design.PinSwap
 
setComparePIPFlags(boolean) - Method in class com.xilinx.rapidwright.design.compare.DesignComparator
Sets a flag to tell the design comparator if PIP flags should also be compared.
setComparePIPs(boolean) - Method in class com.xilinx.rapidwright.design.compare.DesignComparator
Sets a flag to tell the design comparator if PIP should be compared.
setComparePIPs(Predicate<Net>) - Method in class com.xilinx.rapidwright.design.compare.DesignComparator
Set the predicate for the design comparator if PIPs should be compared for the given Net.
setComparePlacement(boolean) - Method in class com.xilinx.rapidwright.design.compare.DesignComparator
Sets the flag to tell the design comparator if placement (cell placements, sitePIPs, and site wire to net mappings) should be compared.
setConfigArray(ConfigArray) - Method in class com.xilinx.rapidwright.bitstream.FAR
Sets the current config array associated with this FAR
setConnectedPortWires(ArrayList<PortWire>) - Method in class com.xilinx.rapidwright.placer.blockplacer.HardMacro
 
setConstant(DeviceResources.Device.ConstantType) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.NodeConstantSource.Builder
 
setConstant(DeviceResources.Device.ConstantType) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource.Builder
 
setConstant(DeviceResources.Device.ConstantType) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireConstantSources.Builder
 
setConstants(DeviceResources.Device.Constants.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setConstants(StructList.Reader<DeviceResources.Device.WireConstantSources.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Builder
 
setConstraints(DeviceResources.Device.Constraints.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setContainRouting(boolean) - Method in class com.xilinx.rapidwright.design.blocks.PBlock
 
setContainRouting(boolean) - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
setConventional(Void) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
setCopyingOriginalNetsRouting(boolean) - Method in class com.xilinx.rapidwright.design.Design
Sets the flag indicating if the design is to keep a copy of a net's routing before it is modified.
setCopyingOriginalSiteInsts(boolean) - Method in class com.xilinx.rapidwright.design.Design
Sets the flag indicating if the design is to keep a copy of a net's routing before it is modified.
setCopyValue(Void) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
setCornerModel(DeviceResources.Device.CornerModel.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Builder
 
setCost(RouteNode, boolean) - Method in class com.xilinx.rapidwright.router.AbstractRouter
Cost function, used to set each node's cost to be prioritized by the queue
setCost(int) - Method in class com.xilinx.rapidwright.router.RouteNode
 
setCriticality(float) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
setCriticalityExponent(float) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets the criticality exponent that is used in calculating connections' criticalities to spread the criticalities of less critical connections and more critical connections apart.
setCstFile(String) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setData(int[]) - Method in class com.xilinx.rapidwright.bitstream.Packet
Updates the data/payload of this packet with the provided array
setData(PrimitiveList.Byte.Reader) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bitstring.Builder
 
setDate(String) - Method in class com.xilinx.rapidwright.bitstream.BitstreamHeader
Sets the recorded 'date created' in the bitstream header
setDefault(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
setDefault(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
setDefault(LogicalNetlist.Netlist.PropertyMap.Entry.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition.Builder
 
setDefaultBestConstant(DeviceResources.Device.ConstantType) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
setDefaultCellConns(StructList.Reader<DeviceResources.Device.Constants.DefaultCellConnections.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
setDelay(DeviceResources.Device.CornerModel.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Builder
 
setDelay(DeviceResources.Device.CornerModel.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP.Builder
 
setDelay(ArrayList<Integer>) - Method in class com.xilinx.rapidwright.placer.blockplacer.Path
 
setDelayFromSource(float) - Method in class com.xilinx.rapidwright.rwroute.LightweightRouteNode
Sets the accumulative delay from a source to a RoutingNode instance if it is an used resource of a routing path.
setDelaysPerSink(int) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setDeleted(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Builder
 
setDeltaCost(int) - Method in class com.xilinx.rapidwright.placer.blockplacer.Move
 
setDepopulatedLogicalName(String) - Method in class com.xilinx.rapidwright.design.PinSwap
 
setDepth(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef.Builder
 
setDepth(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef.Builder
 
setDepth(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef.Builder
 
setDepth(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef.Builder
 
setDepth(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef.Builder
 
setDepth(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef.Builder
 
setDescription(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Builder
 
setDescription(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Builder
 
setDescription(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
setDescription(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
setDesign(Design) - Method in class com.xilinx.rapidwright.design.ModuleInst
 
setDesign(Design) - Method in class com.xilinx.rapidwright.design.Net
Sets the design to which this net belongs.
setDesign(Design) - Method in class com.xilinx.rapidwright.design.noc.NOCDesign
Sets the main design corresponding to this NOCDesign
setDesign(Design) - Method in class com.xilinx.rapidwright.design.SiteInst
Sets the design which this instance is a part of.
setDesign(EDIFDesign) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
setDesign(Design) - Method in class com.xilinx.rapidwright.gui.TileScene
 
setDesign(Design) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setDesign(Design) - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
setDesignName(String) - Method in class com.xilinx.rapidwright.bitstream.BitstreamHeader
Gets the design name as stored in the bitstream header
setDesignOutOfContext(boolean) - Method in class com.xilinx.rapidwright.design.Design
Updates the design to be set or unset as out of context for Vivado.
setDevice(Device) - Method in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
setDevice(Device) - Method in class com.xilinx.rapidwright.design.Module
Sets the specified device for this module
setDevice(Device) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
setDevice(Device) - Method in class com.xilinx.rapidwright.examples.tilebrowser.PartTileBrowserScene
 
setDevice(Device) - Method in class com.xilinx.rapidwright.gui.TileScene
 
setDir(LogicalNetlist.Netlist.Direction) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELPin.Builder
 
setDir(LogicalNetlist.Netlist.Direction) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Builder
 
setDir(LogicalNetlist.Netlist.Direction) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Builder
 
setDirect(boolean) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
setDirection(EDIFDirection) - Method in class com.xilinx.rapidwright.edif.EDIFPort
 
setDirectional(boolean) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
setDlyPatched(boolean) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
setDottyFile(String) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setDrawIntConnections(boolean) - Method in class com.xilinx.rapidwright.gui.PBlockScene
 
setDspTimingDataFolder(String) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets the DSP timing data folder that contains DSP timing data files for the current design to be routed.
setEdgeGroupsFile(String) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setEDIFHierCellInst(EDIFHierCellInst) - Method in class com.xilinx.rapidwright.design.Cell
Sets the hierarchical logical netlist cell to the one provided.
setEndWire(int) - Method in class com.xilinx.rapidwright.device.PIPWires
 
setEnlargeBoundingBox(boolean) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets enlargeBoundingBox.
setEntries(StructList.Reader<LogicalNetlist.Netlist.PropertyMap.Entry.Reader>) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Builder
 
setEnumerationMap(HashMap<E, ArrayList<Integer>>) - Method in class com.xilinx.rapidwright.device.helper.HashPool
 
setEnumerations(ArrayList<E>) - Method in class com.xilinx.rapidwright.device.helper.HashPool
 
setExceptionMap(StructList.Reader<DeviceResources.Device.PrimToMacroExpansion.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setExcludedNodes(HashSet<Node>) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setExportDesignOutOfContext(boolean) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets a flag indicating the design should be exported as out of context.
setExtensionXIncrement(short) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets the extension increment that connections' bounding boxes should be enlarged by horizontally.
setExtensionYIncrement(short) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets the extension increment that connections' bounding boxes should be enlarged by vertically.
setExtPort(Void) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Builder
 
setFAR(int) - Method in class com.xilinx.rapidwright.bitstream.FAR
Sets the FAR object to the specified address.
setFast(DeviceResources.Device.CornerModelValues.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Builder
 
setField(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef.Builder
 
setField(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef.Builder
 
setField(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef.Builder
 
setField(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef.Builder
 
setField(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef.Builder
 
setField(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef.Builder
 
setField(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef.Builder
 
setField(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef.Builder
 
setField(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.StringRef.Builder
 
setField(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.StringRef.Builder
 
setField(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef.Builder
 
setField(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef.Builder
 
setField(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef.Builder
 
setField(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef.Builder
 
setField(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef.Builder
 
setField(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef.Builder
 
setField(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef.Builder
 
setField(String) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef.Builder
 
setField(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef.Builder
 
setField(String) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef.Builder
 
setField(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef.Builder
 
setField(String) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef.Builder
 
setField(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef.Builder
 
setField(String) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef.Builder
 
setField(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef.Builder
 
setField(String) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef.Builder
 
setFinalGroup(boolean) - Method in class com.xilinx.rapidwright.timing.TimingGroup
 
setFirst(T) - Method in class com.xilinx.rapidwright.util.Pair
 
setFirstPin(DeviceResources.Device.PinDelay.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Builder
 
setFirstSitePinInst(SitePinInst) - Method in class com.xilinx.rapidwright.timing.TimingEdge
For debug, sets the first SitePinInst for this edge.
setFlag(int, boolean) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
 
setFlopInput() - Method in class com.xilinx.rapidwright.timing.TimingVertex
This is used for setting that the vertex represents an input to a flip flop.
setFlopOutput() - Method in class com.xilinx.rapidwright.timing.TimingVertex
This is used for setting that the vertex represents an output from a flip flop.
setFormat(DeviceResources.Device.ParameterFormat) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition.Builder
 
setForward(boolean) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Builder
 
setFrame(int, Frame) - Method in class com.xilinx.rapidwright.bitstream.Block
Sets (overwrites) the frame at the specified index within the block.
setFrom(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapEntry.Builder
 
setGetCellsArgs(String) - Method in class com.xilinx.rapidwright.design.blocks.SubPBlock
 
setGndCellPin(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
setGndCellType(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
setGrades(StructList.Reader<DeviceResources.Device.Package.Grade.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Builder
 
setHasGapRouting(boolean) - Method in class com.xilinx.rapidwright.design.Net
Sets the flag indicating that this route is using gap nodes.
setHeader(BitstreamHeader) - Method in class com.xilinx.rapidwright.bitstream.Bitstream
Updates the bitstream header with a new header
setHide(boolean) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.HashSet.Builder
 
setHide(boolean) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.HashSet.Builder
 
setHide(boolean) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.HashSet.Builder
 
setHighBit(byte) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Builder
 
setHistoricalCongestionCost(float) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Gets the historical congestion cost of a RouteNode Object.
setHistoricalCongestionFactor(float) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets the historical congestion cost penalty factor.
setHistory(int) - Method in class com.xilinx.rapidwright.router.RouteNode
 
setHpwl(short) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
setIdx(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Builder
 
setImpl(Integer) - Method in class com.xilinx.rapidwright.design.blocks.BlockInst
 
setImplementationIndex(int) - Method in class com.xilinx.rapidwright.design.Module
 
setImplementations(ArrayList<PBlock>) - Method in class com.xilinx.rapidwright.design.blocks.BlockGuide
 
setImplGuide(ImplGuide) - Method in class com.xilinx.rapidwright.design.blocks.BlockScene
 
setImplies(StructList.Reader<DeviceResources.Device.Constraints.TagPair.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Builder
 
setIndex(int) - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
setIndex(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Builder
 
setInitialGroup(boolean) - Method in class com.xilinx.rapidwright.timing.TimingGroup
 
setInitialPresentCongestionFactor(float) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets the initial present congestion cost penalty factor.
setInitParam(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Builder
 
setInitParam(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Builder
 
setInpin(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP.Builder
 
setInputCapacitance(DeviceResources.Device.CornerModel.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Builder
 
setInputOutputDelays(Map<Pair<String, String>, Short>) - Method in class com.xilinx.rapidwright.timing.DSPTimingData
 
setInputPins(TextList.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Builder
 
setInputPins(TextList.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Builder
 
setInst(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Builder
 
setInstList(StructList.Reader<LogicalNetlist.Netlist.CellInstance.Reader>) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
setInstName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
setInstParam(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
setInsts(TreeMap<String, BlockInst>) - Method in class com.xilinx.rapidwright.design.blocks.BlockGuide
 
setInsts(ArrayList<SiteInst>) - Method in class com.xilinx.rapidwright.design.ModuleInst
 
setInsts(PrimitiveList.Int.Reader) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Builder
 
setInternalCapacitance(DeviceResources.Device.CornerModel.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Builder
 
setInternalDelay(DeviceResources.Device.CornerModel.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Builder
 
setIntraSiteDelay(float) - Method in class com.xilinx.rapidwright.timing.TimingEdge
 
setIntValue(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Builder
 
setInvalid(Void) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Builder
 
setInverting(DeviceResources.Device.BELInverter.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Builder
 
setInverting(DeviceResources.Device.CellPinInversionParameter.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion.Builder
 
setInvertingPin(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELInverter.Builder
 
setInverts(Void) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Builder
 
setInvOnly(Void) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Builder
 
setIOStandard(String) - Method in class com.xilinx.rapidwright.ipi.PackagePinConstraint
 
setIsBelFixed(boolean) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
setIsFixed(boolean) - Method in class com.xilinx.rapidwright.design.PartitionPin
Sets the partition pin as fixed within a tile
setIsFixed(boolean) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNode.Builder
 
setIsFixed(boolean) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Builder
 
setIsFixed(boolean) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Builder
 
setIsFixed(boolean) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Builder
 
setIsInverting(boolean) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Builder
 
setIsOutputPin(boolean) - Method in class com.xilinx.rapidwright.design.SitePinInst
Sets the direction of the pin.
setIsPIPFixed(boolean) - Method in class com.xilinx.rapidwright.device.PIP
Sets this PIP as fixed such that Vivado cannot re-route it.
setIsReversed(boolean) - Method in class com.xilinx.rapidwright.device.PIP
Sets a flag on the PIP to keep track if the PIP is being used in a reversed direction (relevant for bi-directional PIPs only).
setIsSiteFixed(boolean) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
setIsWireFixed(boolean) - Method in class com.xilinx.rapidwright.design.PartitionPin
Sets the partition pin as fixed to a specific wire in a tile
setJobNumber(long) - Method in class com.xilinx.rapidwright.util.Job
 
setKey(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Builder
 
setKey(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Property.Builder
 
setLastInput(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
setLevel(int) - Method in class com.xilinx.rapidwright.router.RouteNode
 
setLevel(short) - Method in class com.xilinx.rapidwright.util.RuntimeTracker
Sets the level (depth) of a RuntimeTracker instance if it is included in a tree.
setLib(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Builder
 
setLibrary(EDIFLibrary) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
setLocalID(Integer) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setLocation(String) - Method in class com.xilinx.rapidwright.design.noc.NOCClient
Sets the location of the client
setLocations(StructList.Reader<DeviceResources.Device.Constraints.ConstraintLocation.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Builder
 
setLocked(boolean) - Method in class com.xilinx.rapidwright.design.Cell
Mark this cell as locked.
setLogicalHierNet(EDIFHierNet) - Method in class com.xilinx.rapidwright.design.Net
Explicitly sets the logical hierarchical net corresponding to this physical net
setLogicalName(String) - Method in class com.xilinx.rapidwright.design.AltPinMapping
 
setLogicalName(String) - Method in class com.xilinx.rapidwright.design.PinSwap
 
setLogicDelay(float) - Method in class com.xilinx.rapidwright.timing.TimingEdge
Sets the logic-related component of the delay in ps for this edge.
setLowBit(byte) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Builder
 
setLowerBoundTotalPathCost(float) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Sets the lower bound total path cost.
setLowerLeft(PBlockCorner) - Method in class com.xilinx.rapidwright.design.blocks.PBlockRange
 
setLsfExclusive(boolean) - Method in class com.xilinx.rapidwright.util.LSFJob
 
setLsfProject(String) - Method in class com.xilinx.rapidwright.util.LSFJob
 
setLsfQueue(String) - Method in class com.xilinx.rapidwright.util.LSFJob
 
setLsfResource(String) - Method in class com.xilinx.rapidwright.util.LSFJob
 
setLsfResourceMemoryLimit(int) - Method in class com.xilinx.rapidwright.util.LSFJob
Appends a memory liimt to this Job's LSF Resource.
setLutCells(StructList.Reader<DeviceResources.Device.LutDefinitions.LutCell.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.Builder
 
setLutDefinitions(DeviceResources.Device.LutDefinitions.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setLutElements(StructList.Reader<DeviceResources.Device.LutDefinitions.LutElements.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.Builder
 
setLutPinSwapping(boolean) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets a flag indicating LUT pins can be swapped.
setLuts(StructList.Reader<DeviceResources.Device.LutDefinitions.LutElement.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements.Builder
 
setMacroName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Builder
 
setMaskNodesCrossRCLK(boolean) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets maskNodesCrossRCLK.
setMax(float) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Builder
 
setMaxArrivalTime(float) - Method in class com.xilinx.rapidwright.timing.TimingVertex
Sets the arrival time stored at this vertex WHEN the new arrival time is larger than the current.
setMaxArrivalTime(float, TimingVertex) - Method in class com.xilinx.rapidwright.timing.TimingVertex
 
setMaxClockUncertainty(double) - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
setMaxConflicts(Integer) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setMaxDelay(int) - Method in class com.xilinx.rapidwright.placer.blockplacer.Path
 
setMaxIterations(short) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets the maximum number of routing iterations.
setMaxPasses(Integer) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setMetaDataMap(HashMap<String, String>) - Method in class com.xilinx.rapidwright.design.Module
 
setMin(float) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Builder
 
setMinClkPeriod(float) - Method in class com.xilinx.rapidwright.design.Module
 
setMinClockUncertainty(double) - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
setMinor(int) - Method in class com.xilinx.rapidwright.bitstream.FAR
Updates the minor frame address to which the FAR address is currently set
setMinRequiredTime(float) - Method in class com.xilinx.rapidwright.timing.TimingVertex
 
setMinRerouteCriticality(float) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets the criticality threshold for re-routing critical connections.
setModule(Module) - Method in class com.xilinx.rapidwright.design.ModuleInst
 
setModuleInst(ModuleInst) - Method in class com.xilinx.rapidwright.design.Net
Sets the module instance which this net belongs to.
setModuleInst(ModuleInst) - Method in class com.xilinx.rapidwright.design.SiteInst
Sets the module instance of this instance (the instance of a module to which this instance belongs).
setModuleTemplate(Module) - Method in class com.xilinx.rapidwright.design.Net
Sets the module class this net implements.
setModuleTemplate(Module) - Method in class com.xilinx.rapidwright.design.SiteInst
Sets the module class this instance implements.
setModuleTemplateInst(SiteInst) - Method in class com.xilinx.rapidwright.design.SiteInst
Sets the corresponding instance inside a module template of this instance.
setModuleTemplateNet(Net) - Method in class com.xilinx.rapidwright.design.Net
Sets the reference to the template net from a module template corresponding to this net.
setMove(PlacementT, PlacementT, ModuleInstT, ModuleInstT, PlacementT) - Method in class com.xilinx.rapidwright.placer.blockplacer.Move
 
setMove(PlacementT, PlacementT, ModuleInstT, ModuleInstT) - Method in class com.xilinx.rapidwright.placer.blockplacer.Move
 
setMulti(Void) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Builder
 
setMultiCell(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.MultiCellPinMapping.Builder
 
setMultiType(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.MultiCellPinMapping.Builder
 
setName(String) - Method in class com.xilinx.rapidwright.design.AbstractModuleInst
 
setName(String) - Method in class com.xilinx.rapidwright.design.BELAttr
 
setName(String) - Method in class com.xilinx.rapidwright.design.blocks.BlockInst
 
setName(String) - Method in class com.xilinx.rapidwright.design.blocks.PBlock
 
setName(String) - Method in class com.xilinx.rapidwright.design.Design
Sets the name of the design
setName(String) - Method in class com.xilinx.rapidwright.design.Module
Sets the name of this module
setName(String) - Method in class com.xilinx.rapidwright.design.Net
Sets the name of the net.
setName(String) - Method in class com.xilinx.rapidwright.design.Port
Sets the name of the port.
setName(String) - Method in class com.xilinx.rapidwright.design.SiteInst
Sets the user name of the instance
setName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Builder
 
setName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELPin.Builder
 
setName(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setName(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnection.Builder
 
setName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Builder
 
setName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Builder
 
setName(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Builder
 
setName(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Builder
 
setName(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Builder
 
setName(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Builder
 
setName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Builder
 
setName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Grade.Builder
 
setName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition.Builder
 
setName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Site.Builder
 
setName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Builder
 
setName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
setName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteWire.Builder
 
setName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Builder
 
setName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Builder
 
setName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireType.Builder
 
setName(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
setName(String) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
setName(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Builder
 
setName(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Builder
 
setName(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Builder
 
setName(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Builder
 
setName(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Builder
 
setName(String) - Method in class com.xilinx.rapidwright.ipi.PackagePinConstraint
 
setName(String) - Method in class com.xilinx.rapidwright.util.RouteStatus
 
setNet(Net) - Method in class com.xilinx.rapidwright.design.BELAttr
 
setNet(Net) - Method in class com.xilinx.rapidwright.design.SitePinInst
 
setNetDelay(float) - Method in class com.xilinx.rapidwright.timing.TimingEdge
Sets the net-related component of the delay in ps for this edge.
setNetGroupsFile(String) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setNetlist(EDIFNetlist) - Method in class com.xilinx.rapidwright.design.Design
Sets the logical netlist for this design.
setNetlist(EDIFNetlist) - Method in class com.xilinx.rapidwright.design.Module
Sets the netlist for the module
setNetlist(EDIFNetlist) - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
 
setNets(StructList.Reader<LogicalNetlist.Netlist.Net.Reader>) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Builder
 
setNetsToRoute(Collection<Net>) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setNetWrapper(NetWrapper) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
setNewNetPinName(String) - Method in class com.xilinx.rapidwright.design.PinSwap
 
setNewPhysicalName(String) - Method in class com.xilinx.rapidwright.design.PinSwap
 
setNoBel(Void) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Builder
 
setNOCDesign(NOCDesign) - Method in class com.xilinx.rapidwright.design.Design
Sets the NOC Design for this design
setNOCDesign(NOCDesign) - Method in class com.xilinx.rapidwright.design.Module
Sets the NOC Design containing client metadata for the module
setNoClock(Void) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Builder
 
setNode(Node) - Method in class com.xilinx.rapidwright.design.PartitionPin
Sets this partition pin to a specific node
setNodes(StructList.Reader<DeviceResources.Device.Node.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setNodes(List<Node>) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
setNodeSources(StructList.Reader<DeviceResources.Device.Constants.NodeConstantSource.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
setNodeTiming(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Node.Builder
 
setNodeTimings(StructList.Reader<DeviceResources.Device.NodeTiming.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setNoFast(Void) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Builder
 
setNoMax(Void) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Builder
 
setNoMin(Void) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Builder
 
setNoModel(Void) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Builder
 
setNonInverting(Void) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Builder
 
setNonInvertingPin(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELInverter.Builder
 
setNoSite(Void) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Builder
 
setNoSite(Void) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Builder
 
setNoSlow(Void) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Builder
 
setNotInverting(DeviceResources.Device.CellPinInversionParameter.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion.Builder
 
setNoTyp(Void) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Builder
 
setNullBEL(boolean) - Method in class com.xilinx.rapidwright.design.Cell
Set this cell has a null BEL/element.
setNullNet(PhysicalNetlist.PhysNetlist.PhysNet.Reader) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
setNumInstances(int) - Method in class com.xilinx.rapidwright.device.helper.TileColumnPattern
 
setOldPhysicalName(String) - Method in class com.xilinx.rapidwright.design.PinSwap
 
setOptions(String) - Method in class com.xilinx.rapidwright.bitstream.BitstreamHeader
Sets the options used as stored in the bitstream header
setOptRouteUtilization(boolean) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setOrderedTimingVertexLists() - Method in class com.xilinx.rapidwright.timing.TimingGraph
Creates and Sets the lists of ordered TimingVertices
setOtherBels(PrimitiveList.Int.Reader) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
setOtherCell(PhysicalNetlist.PhysNetlist.MultiCellPinMapping.Reader) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Builder
 
setOutpin(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP.Builder
 
setOutputCapacitance(DeviceResources.Device.CornerModel.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Builder
 
setOutputFile(String) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setOutputPin(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Builder
 
setOutputPin(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Builder
 
setOutputPort(boolean) - Method in class com.xilinx.rapidwright.design.Port
 
setOutputResistance(DeviceResources.Device.CornerModel.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Builder
 
setOverrideDataFileDownload(boolean) - Static method in class com.xilinx.rapidwright.util.FileTools
 
setOwner(String) - Method in class com.xilinx.rapidwright.edif.EDIFPropertyValue
 
setPackagePin(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Builder
 
setPackagePins(StructList.Reader<DeviceResources.Device.Package.PackagePin.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Builder
 
setPackages(StructList.Reader<DeviceResources.Device.Package.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setPackets(List<Packet>) - Method in class com.xilinx.rapidwright.bitstream.Bitstream
Updates the list of packets in this bitstream
setParallel(boolean) - Static method in class com.xilinx.rapidwright.util.ParallelismTools
Global setter to control parallel processing.
setParameter(LogicalNetlist.Netlist.PropertyMap.Entry.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Builder
 
setParameter(LogicalNetlist.Netlist.PropertyMap.Entry.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry.Builder
 
setParameterDefs(DeviceResources.Device.ParameterDefinitions.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setParameterPins(StructList.Reader<DeviceResources.Device.ParameterCellBelPinMaps.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Builder
 
setParameters(StructList.Reader<DeviceResources.Device.ParameterDefinition.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellParameterDefinition.Builder
 
setParameters(StructList.Reader<LogicalNetlist.Netlist.PropertyMap.Entry.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Builder
 
setParametersSiteTypes(StructList.Reader<DeviceResources.Device.ParameterSiteTypeBelEntry.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps.Builder
 
setParamMapping(StructList.Reader<DeviceResources.Device.ParameterMapRule.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Builder
 
setParent(BlockGuide) - Method in class com.xilinx.rapidwright.design.blocks.BlockInst
 
setParent(PBlock) - Method in class com.xilinx.rapidwright.design.blocks.PBlock
 
setParent(RouteNode) - Method in class com.xilinx.rapidwright.router.RouteNode
 
setParentCell(EDIFCell) - Method in class com.xilinx.rapidwright.edif.EDIFCellInst
 
setParentCell(EDIFCell) - Method in class com.xilinx.rapidwright.edif.EDIFNet
 
setParentCell(EDIFCell) - Method in class com.xilinx.rapidwright.edif.EDIFPort
 
setParentNet(EDIFNet) - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
setPart(Part) - Method in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
setPart(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
setPart(String) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
setPartitionGraph(boolean) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setPartitionPinLoc(Tile) - Method in class com.xilinx.rapidwright.design.Port
 
setPartName(String) - Method in class com.xilinx.rapidwright.bitstream.BitstreamHeader
Sets the part name as stored in the bitstream header
setPartName(String) - Method in class com.xilinx.rapidwright.design.Design
Sets the part name (see Part), for the design.
setPassThruPortNames(ArrayList<String>) - Method in class com.xilinx.rapidwright.design.Port
 
setPath(ImplsPath) - Method in class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort
 
setPbFile(String) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setPBlock(String) - Method in class com.xilinx.rapidwright.design.Module
Allows the user to store the pblock used to create the module from String representation
setPBlock(PBlock) - Method in class com.xilinx.rapidwright.design.Module
Allows the user to store the pblock used to create the module
setPblock(PBlock) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setPBlocks(Map<PBlock, String>) - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
setPessimismA(float) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets the critical path delay pessimism factor a.
setPessimismB(short) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets critical path delay pessimism factor b.
setPhysCells(StructList.Reader<PhysicalNetlist.PhysNetlist.PhysCell.Reader>) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
setPhysNets(StructList.Reader<PhysicalNetlist.PhysNetlist.PhysNet.Reader>) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
setPhysType(PhysicalNetlist.PhysNetlist.PhysCellType) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysCell.Builder
 
setPin(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Builder
 
setPin(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Builder
 
setPin(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Builder
 
setPin(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBelPin.Builder
 
setPin(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePin.Builder
 
setPin(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Builder
 
setPinMap(StructList.Reader<PhysicalNetlist.PhysNetlist.PinMapping.Reader>) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
setPinMapping(Map<String, String>) - Method in class com.xilinx.rapidwright.timing.DSPTimingData
 
setPinName(String) - Method in class com.xilinx.rapidwright.design.SitePinInst
Sets the name of the pin.
setPinName(String) - Method in class com.xilinx.rapidwright.device.SitePin
 
setPins(List<SitePinInst>) - Method in class com.xilinx.rapidwright.design.Net
Sets the pins (source and sinks) of the net.
setPins(PrimitiveList.Int.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Builder
 
setPins(StructList.Reader<DeviceResources.Device.CellBelPinEntry.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps.Builder
 
setPins(StructList.Reader<DeviceResources.Device.Constants.DefaultCellConnection.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnections.Builder
 
setPins(StructList.Reader<DeviceResources.Device.CellBelPinEntry.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps.Builder
 
setPins(PrimitiveList.Int.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParentPins.Builder
 
setPins(PrimitiveList.Int.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PseudoCell.Builder
 
setPins(StructList.Reader<DeviceResources.Device.SitePin.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
setPins(PrimitiveList.Int.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteWire.Builder
 
setPinsDelay(StructList.Reader<DeviceResources.Device.PinsDelay.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Builder
 
setPinsDelayType(DeviceResources.Device.PinsDelayType) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Builder
 
setPinType(PinType) - Method in class com.xilinx.rapidwright.design.SitePinInst
Sets the pin's type
setPip(PhysicalNetlist.PhysNetlist.PhysPIP.Reader) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder
 
setPipFile(String) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setPIPs(Set<PIP>) - Method in class com.xilinx.rapidwright.design.Net
Sets the PIPs of the net.
setPIPs(List<PIP>) - Method in class com.xilinx.rapidwright.design.Net
Sets the PIPs of the net.
setPips(StructList.Reader<DeviceResources.Device.PIP.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Builder
 
setPipTimings(StructList.Reader<DeviceResources.Device.PIPTiming.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setPlacement(Site) - Method in class com.xilinx.rapidwright.design.blocks.BlockInst
 
setPlacements(StructList.Reader<PhysicalNetlist.PhysNetlist.CellPlacement.Reader>) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
setPlacerDirectives(ArrayList<PlacerDirective>) - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
setPlacerDirectives(String[]) - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
setPort(Port) - Method in class com.xilinx.rapidwright.design.SitePinInst
Sets the module port that references this pin.
setPort(EDIFPort) - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
setPort(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Builder
 
setPort(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Builder
 
setPort(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Builder
 
setPortInsts(StructList.Reader<LogicalNetlist.Netlist.PortInstance.Reader>) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Builder
 
setPortList(StructList.Reader<LogicalNetlist.Netlist.Port.Reader>) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
setPorts(ArrayList<Port>) - Method in class com.xilinx.rapidwright.design.Module
Sets the port list for this module.
setPorts(PrimitiveList.Int.Reader) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Builder
 
setPresentCongestionCost(float) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Sets the present congestion cost of a RouteNode Object.
setPresentCongestionMultiplier(float) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets the present congestion factor multiplier.
setPrev(LightweightRouteNode) - Method in class com.xilinx.rapidwright.rwroute.LightweightRouteNode
 
setPrev(RouteNode) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Sets the parent RouteNode instance for routing a connection.
setPrev(TimingVertex) - Method in class com.xilinx.rapidwright.timing.TimingVertex
 
setPrimaryPinsToTileWires(PrimitiveList.Int.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Builder
 
setPrimaryType(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Builder
 
setPrimLibs(LogicalNetlist.Netlist.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setPrimName(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Builder
 
setPrimParam(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
setPrintConnectionSpan(boolean) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets printConnectionSpan.
setProperties(StructList.Reader<PhysicalNetlist.PhysNetlist.Property.Reader>) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
setPropertiesMap(Map<String, EDIFPropertyValue>) - Method in class com.xilinx.rapidwright.design.Cell
Helper method to set the properties on the underlying logical cell (EDIFCellInst)
setPropertiesMap(Map<String, EDIFPropertyValue>) - Method in class com.xilinx.rapidwright.edif.EDIFPropertyObject
Set all properties
setPropMap(LogicalNetlist.Netlist.PropertyMap.Reader) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
setPropMap(LogicalNetlist.Netlist.PropertyMap.Reader) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Builder
 
setPropMap(LogicalNetlist.Netlist.PropertyMap.Reader) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Builder
 
setPropMap(LogicalNetlist.Netlist.PropertyMap.Reader) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Builder
 
setPropMap(LogicalNetlist.Netlist.PropertyMap.Reader) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Builder
 
setPseudoCells(StructList.Reader<DeviceResources.Device.PseudoCell.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
setRapidWrightCommand(Class<?>, int, String) - Method in class com.xilinx.rapidwright.util.Job
Set the command to run a RapidWright main class
setReadEdifAndXdefInParallel(boolean) - Static method in class com.xilinx.rapidwright.design.Design
Set whether Design.readCheckpoint(Path) is encouraged to read Edif and XDEF (placement and routing information) in parallel.
setRegFile(String) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setRegionID(Integer) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setRename(EDIFName, String) - Method in class com.xilinx.rapidwright.edif.EDIFReadLegalNameCache
 
setReportingCurrOSMemUsage(boolean) - Method in class com.xilinx.rapidwright.tests.CodePerfTracker
Flag indicating if CodePerfTracker is running in Linux and is reporting memory usage, to also report current OS memory usage alongside peak OS memory usage.
setRequiredTime(float) - Method in class com.xilinx.rapidwright.timing.TimingVertex
Sets the required time stored at this vertex.
setRequires(StructList.Reader<DeviceResources.Device.Constraints.TagStates.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Builder
 
setReroutePercentage(short) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets the maximum percentage of critical connections that should be re-routed.
setResistance(DeviceResources.Device.CornerModel.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming.Builder
 
setResistance(DeviceResources.Device.CornerModel.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Builder
 
setRnodes(List<RouteNode>) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
setRouted(boolean) - Method in class com.xilinx.rapidwright.design.SitePinInst
Sets a flag on this pin to mark it as routed
setRouteDelay(float) - Method in class com.xilinx.rapidwright.timing.TimingEdge
 
setRoutedTag(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Builder
 
setRoutedTag(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Builder
 
setRoutedTag(DeviceResources.Device.Constraints.RoutedTagPort.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Builder
 
setRoutedTag(DeviceResources.Device.Constraints.RoutedTagPort.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Builder
 
setRoutedTags(StructList.Reader<DeviceResources.Device.Constraints.RoutedTag.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Builder
 
setRouterDirectives(ArrayList<RouterDirective>) - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
setRouterDirectives(String[]) - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
setRoutethru(boolean) - Method in class com.xilinx.rapidwright.design.Cell
Sets flag that this cell represents a routethru.
setRoutingBel(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Builder
 
setRoutingBel(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Builder
 
setRoutingPblock(PBlock) - Method in class com.xilinx.rapidwright.router.Router
 
setRow(int) - Method in class com.xilinx.rapidwright.bitstream.FAR
Updates the current row to which the FAR address is currently set
setRow(int) - Method in class com.xilinx.rapidwright.design.tools.LUTEquationEvaluator
 
setRow(short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Builder
 
setRowIndex(int) - Method in class com.xilinx.rapidwright.bitstream.ConfigRow
Sets the config row index as referenced from the Frame Address Register (FAR).
setRunDir(String) - Method in class com.xilinx.rapidwright.util.Job
 
setRunDirectory(String) - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
setScene(TileScene) - Method in class com.xilinx.rapidwright.device.browser.WireConnectionLine
 
setSecond(U) - Method in class com.xilinx.rapidwright.util.Pair
 
setSecondPin(DeviceResources.Device.PinDelay.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Builder
 
setSecondSitePinInst(SitePinInst) - Method in class com.xilinx.rapidwright.timing.TimingEdge
For debug, sets the second SitePinInst for this edge.
setSeed(long) - Method in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
Sets the random seed to be used in this placer
setShape(QPolygonF) - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
 
setShareExponent(float) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets the sharing exponent that discourages resource sharing for timing-driven routing of critical connections.
setSingleBit(Void) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Builder
 
setSink(SitePinInst) - Method in class com.xilinx.rapidwright.placer.blockplacer.PortWire
 
setSinkBlock(HardMacro) - Method in class com.xilinx.rapidwright.placer.blockplacer.PortWire
 
setSinkRnode(RouteNode) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
setSite(Site) - Method in class com.xilinx.rapidwright.device.SitePin
 
setSite(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements.Builder
 
setSite(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements.Builder
 
setSite(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Builder
 
setSite(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Builder
 
setSite(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
setSite(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBel.Builder
 
setSite(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBelPin.Builder
 
setSite(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Builder
 
setSite(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePin.Builder
 
setSite(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Builder
 
setSite(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.SiteInstance.Builder
 
setSiteFixed(boolean) - Method in class com.xilinx.rapidwright.design.Cell
Sets the fixed site flag for the cell.
setSiteInst(SiteInst) - Method in class com.xilinx.rapidwright.design.Cell
Sets the site instance where this cell resides.
setSiteInst(SiteInst) - Method in class com.xilinx.rapidwright.design.SitePinInst
Sets the instance to which this pin belongs.
setSiteInst(SiteInst, boolean) - Method in class com.xilinx.rapidwright.design.SitePinInst
Sets the instance to which this pin belongs.
setSiteInsts(StructList.Reader<PhysicalNetlist.PhysNetlist.SiteInstance.Reader>) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
setSiteLocked(boolean) - Method in class com.xilinx.rapidwright.design.SiteInst
Sets this state of the site as locked or not
setSitePin(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SitePinConstantExceptions.Builder
 
setSitePin(PhysicalNetlist.PhysNetlist.PhysSitePin.Reader) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder
 
setSitePIP(PhysicalNetlist.PhysNetlist.PhysSitePIP.Reader) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder
 
setSitePIPs(StructList.Reader<DeviceResources.Device.SitePIP.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
setSites(StructList.Reader<DeviceResources.Device.Site.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Builder
 
setSiteSources(StructList.Reader<DeviceResources.Device.Constants.SiteConstantSource.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
setSiteType(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource.Builder
 
setSiteType(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SitePinConstantExceptions.Builder
 
setSiteType(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry.Builder
 
setSiteType(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeBelEntry.Builder
 
setSiteTypeList(StructList.Reader<DeviceResources.Device.SiteType.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setSiteTypes(StructList.Reader<DeviceResources.Device.SiteTypeBelEntry.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps.Builder
 
setSiteTypes(TextList.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Builder
 
setSiteTypes(TextList.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
setSiteTypes(StructList.Reader<DeviceResources.Device.SiteTypeInTileType.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Builder
 
setSiteWires(StructList.Reader<DeviceResources.Device.SiteWire.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Builder
 
setSlack(float) - Method in class com.xilinx.rapidwright.timing.TimingVertex
Sets the slack value stored at this vertex.
setSliceY - Static variable in class com.xilinx.rapidwright.examples.PolynomialGenerator
 
setSlow(DeviceResources.Device.CornerModelValues.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Builder
 
setSource(SitePinInst) - Method in class com.xilinx.rapidwright.design.Net
Sets the source of this net with the provided pin
setSource(SitePinInst) - Method in class com.xilinx.rapidwright.placer.blockplacer.PortWire
 
setSource(SitePinInst) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
setSourceBlock(HardMacro) - Method in class com.xilinx.rapidwright.placer.blockplacer.PortWire
 
setSourceRnode(RouteNode) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
setSources(StructList.Reader<PhysicalNetlist.PhysNetlist.RouteBranch.Reader>) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Builder
 
setSpeedGrade(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Grade.Builder
 
setSrcDatFile(String) - Method in class com.xilinx.rapidwright.design.Module
Sets the .dat filename used to populate this module
setStartWire(int) - Method in class com.xilinx.rapidwright.device.PIPWires
 
setState(GUIShapeState) - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
 
setState(GUIShapeState) - Method in class com.xilinx.rapidwright.gui.HMTile
 
setState(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Builder
 
setState(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Builder
 
setState(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Builder
 
setState(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Builder
 
setStates(StructList.Reader<DeviceResources.Device.Constraints.State.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
setStates(TextList.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Builder
 
setStatus(String) - Method in class com.xilinx.rapidwright.util.RouteStatus
 
setStopCellToken(EDIFToken) - Method in class com.xilinx.rapidwright.edif.ParallelEDIFParserWorker
 
setStrList(TextList.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setStrList(TextList.Reader) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
setStrList(TextList.Reader) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Builder
 
setStubNodes(StructList.Reader<PhysicalNetlist.PhysNetlist.PhysNode.Reader>) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Builder
 
setStubs(StructList.Reader<PhysicalNetlist.PhysNetlist.RouteBranch.Reader>) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Builder
 
setSubTile(short) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
setSubTilesPrefices(PrimitiveList.Int.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Builder
 
setSubTrees(ArrayList<ArrayList<String>>) - Method in class com.xilinx.rapidwright.util.RouteStatus
 
setSubType(BlockSubType) - Method in class com.xilinx.rapidwright.bitstream.Block
Updates the block's sub type, see BlockSubType TileTypeEnum
setSupressWarningsErrors(boolean) - Method in class com.xilinx.rapidwright.router.Router
 
setTableLookup(StructList.Reader<DeviceResources.Device.ParameterMapEntry.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
setTag(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Builder
 
setTag(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Builder
 
setTag(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Builder
 
setTag(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Builder
 
setTag(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
setTag(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
setTag(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Builder
 
setTag(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Builder
 
setTag(Text.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Builder
 
setTag(String) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Builder
 
setTags(StructList.Reader<DeviceResources.Device.Constraints.Tag.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Builder
 
setTarget(boolean) - Method in class com.xilinx.rapidwright.rwroute.LightweightRouteNode
 
setTarget(boolean) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Sets the boolean value of target.
setTargetPeriod(double) - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
setTempAnchorSite(ModuleInstT, PlacementT) - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractBlockPlacer
 
setTempAnchorSite(HardMacro, Site) - Method in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer
 
setTempAnchorSite(ModuleImplsInst, ModulePlacement) - Method in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2Impls
 
setTempAnchorSite(HardMacro, Site) - Method in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2Module
 
setTempAnchorSite(Site, HashMap<Site, HardMacro>) - Method in class com.xilinx.rapidwright.placer.blockplacer.HardMacro
 
setTemperatureGrade(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Grade.Builder
 
setTextValue(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Builder
 
setTile(Tile) - Method in class com.xilinx.rapidwright.device.PIP
Sets the tile where this PIP resides.
setTile(Tile) - Method in class com.xilinx.rapidwright.device.Wire
Updates the tile for this wire
setTile(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.NodeConstantSource.Builder
 
setTile(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Wire.Builder
 
setTile(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNode.Builder
 
setTile(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Builder
 
setTile(Tile) - Method in class com.xilinx.rapidwright.router.RouteNode
 
setTileAndWire(Tile, int) - Method in class com.xilinx.rapidwright.router.RouteNode
A quick setter method for the tile and wire.
setTileAndWire(Wire) - Method in class com.xilinx.rapidwright.router.RouteNode
 
setTileList(StructList.Reader<DeviceResources.Device.Tile.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setTileSize(int) - Method in class com.xilinx.rapidwright.placer.blockplacer.HardMacro
 
setTileTypeList(StructList.Reader<DeviceResources.Device.TileType.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setTileTypes(TextList.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
setTime(String) - Method in class com.xilinx.rapidwright.bitstream.BitstreamHeader
Sets the recorded 'time created' time stamp as stored in the bitstream header
setTime(long) - Method in class com.xilinx.rapidwright.util.RuntimeTracker
Sets the total time.
setTiming(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
setTimingDriven(boolean) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets timingDriven.
setTimingEdges(List<TimingEdge>) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
setTimingEdgesDelay(float) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
setTimingEdgesOfConnections(List<Connection>) - Method in class com.xilinx.rapidwright.timing.TimingGraph
Assigns TimingEdge instances to each connection in the list.
setTimingEdgesOfConnections(List<Connection>) - Method in class com.xilinx.rapidwright.timing.TimingManager
 
setTimingManager(TimingManager) - Method in class com.xilinx.rapidwright.timing.TimingGraph
If a TimingMangager is used to create the TimingGraph indirectly from the user, the TimingManager will call this method.
setTimingModel(TimingModel) - Method in class com.xilinx.rapidwright.timing.TimingGraph
If a TimingMangager is used to create the TimingGraph indirectly from the user, the TimingManager will call this method to set the TimingModel.
setTimingMultiplier(float) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets the multiplier for timingWeight.
setTimingRequirement(float) - Method in class com.xilinx.rapidwright.timing.TimingGraph
Sets the same specified timing requirement on the TimingGraph on GraphPaths that have been predetermined.
setTimingRequirement(float, GraphPath<TimingVertex, TimingEdge>) - Method in class com.xilinx.rapidwright.timing.TimingGraph
Sets the same specified timing requirement on a specified GraphPath.
setTimingRequirement() - Method in class com.xilinx.rapidwright.timing.TimingManager
Set the timing requirement of the design
setTimingRequirementPs(float) - Method in class com.xilinx.rapidwright.timing.TimingManager
 
setTimingRequirementTopologicalOrder(float) - Method in class com.xilinx.rapidwright.timing.TimingGraph
Set the required time of each timing vertex in the graph
setTimingWeight(float) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets the timing-driven weighting factor used in the cost function.
setTo(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapEntry.Builder
 
setTop(boolean) - Method in class com.xilinx.rapidwright.bitstream.ConfigRow
Sets the flag indicating if this config row is in the top half of the array.
setTopBotBit(int) - Method in class com.xilinx.rapidwright.bitstream.FAR
Updates the top/bottom bit from the current address set in this FAR (only relevant for Series 7 devices)
setTopCell(EDIFCell) - Method in class com.xilinx.rapidwright.edif.EDIFDesign
 
setTopInst(LogicalNetlist.Netlist.CellInstance.Reader) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Builder
 
setTrackCellChanges(boolean) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
Flag to track changes to EDIFCell changes to this netlist.
setTrackingChanges(boolean) - Method in class com.xilinx.rapidwright.design.Design
Global design setting that enables or disable tracking of modified EDIFCells, SiteInsts and physical Nets.
setTrackNetChanges(boolean) - Method in class com.xilinx.rapidwright.design.Design
Flag to track changes to Nets in this design.
setTrackOSMemUsage(boolean) - Method in class com.xilinx.rapidwright.tests.CodePerfTracker
Sets tracking of OS memory usage (in Linux only).
setTrackSiteInstChanges(boolean) - Method in class com.xilinx.rapidwright.design.Design
Flag to track changes to SiteInst in this design.
setTyp(float) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Builder
 
setType(String) - Method in class com.xilinx.rapidwright.design.Cell
Explicitly set the type of this cell.
setType(NetType) - Method in class com.xilinx.rapidwright.design.Net
Sets the type of the net.
setType(PortType) - Method in class com.xilinx.rapidwright.design.Port
 
setType(VivadoPropType) - Method in class com.xilinx.rapidwright.design.VivadoProp
 
setType(EDIFValueType) - Method in class com.xilinx.rapidwright.edif.EDIFPropertyValue
 
setType(References.ReferenceType) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef.Builder
 
setType(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Builder
 
setType(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Site.Builder
 
setType(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Builder
 
setType(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Wire.Builder
 
setType(References.ImplementationType) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.HashSet.Builder
 
setType(References.ReferenceType) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef.Builder
 
setType(References.ReferenceType) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef.Builder
 
setType(References.ReferenceType) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef.Builder
 
setType(References.ReferenceType) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.StringRef.Builder
 
setType(References.ReferenceType) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef.Builder
 
setType(References.ReferenceType) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef.Builder
 
setType(References.ReferenceType) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef.Builder
 
setType(References.ReferenceType) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef.Builder
 
setType(References.ImplementationType) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.HashSet.Builder
 
setType(References.ReferenceType) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef.Builder
 
setType(References.ReferenceType) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef.Builder
 
setType(References.ReferenceType) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef.Builder
 
setType(References.ImplementationType) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.HashSet.Builder
 
setType(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Builder
 
setType(PhysicalNetlist.PhysNetlist.NetType) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Builder
 
setType(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.SiteInstance.Builder
 
setType(References.ReferenceType) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef.Builder
 
setType(RouteNodeType) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Sets the type of a RouteNode object.
setUpperRight(PBlockCorner) - Method in class com.xilinx.rapidwright.design.blocks.PBlockRange
 
setUpstreamPathCost(float) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Sets the upstream path cost.
setUseBoundingBox(boolean) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets if the router should route connections with the routing bounding box constraint.
setUseImage(boolean) - Method in class com.xilinx.rapidwright.gui.TileScene
 
setUseUTurnNodes(boolean) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets useUTurnNodes.
setUseWeightsOnNodes(boolean) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setValid(boolean) - Method in class com.xilinx.rapidwright.timing.DSPTimingData
 
setValidPlacements(ArrayList<Site>) - Method in class com.xilinx.rapidwright.design.Module
Manually set the valid placements for this module.
setValue(String) - Method in class com.xilinx.rapidwright.design.BELAttr
 
setValue(String) - Method in class com.xilinx.rapidwright.design.VivadoProp
 
setValue(String) - Method in class com.xilinx.rapidwright.edif.EDIFPropertyValue
 
setValue(DeviceResources.Device.Constants.CellPinValue) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnection.Builder
 
setValue(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Property.Builder
 
setVccCellPin(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
setVccCellType(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Builder
 
setVcNetAssignmentFile(String) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setVerbose(boolean) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets verbose.
setVerbose(boolean) - Method in class com.xilinx.rapidwright.tests.CodePerfTracker
 
setVerbosity(int) - Method in class com.xilinx.rapidwright.router.SATRouter
 
setView(String) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
setView(EDIFName) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
setView(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Builder
 
setView(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Builder
 
setViewref(EDIFName) - Method in class com.xilinx.rapidwright.edif.EDIFCellInst
 
setVisited(boolean) - Method in class com.xilinx.rapidwright.interchange.RouteBranchNode
 
setVisited(int) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Mark a RouteNode instance as being visited by a specific integer identifier.
setVivadoPath(String) - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
setWidth(int) - Method in class com.xilinx.rapidwright.edif.EDIFPort
 
setWidth(byte) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElement.Builder
 
setWidth(int) - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bitstring.Builder
 
setWire(int) - Method in class com.xilinx.rapidwright.device.Wire
Updates the wire index for this wire
setWire(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.NodeConstantSource.Builder
 
setWire(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Wire.Builder
 
setWire(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNode.Builder
 
setWire(int) - Method in class com.xilinx.rapidwright.router.RouteNode
 
setWire0(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
setWire0(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Builder
 
setWire1(int) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
setWire1(int) - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Builder
 
setWirelengthWeight(float) - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
Sets the wirelength-driven weighting factor used in the cost function.
setWires(StructList.Reader<DeviceResources.Device.Wire.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setWires(PrimitiveList.Int.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Node.Builder
 
setWires(PrimitiveList.Int.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Builder
 
setWires(PrimitiveList.Int.Reader) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireConstantSources.Builder
 
setWireTypes(StructList.Reader<DeviceResources.Device.WireType.Reader>) - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Builder
 
setWords(int[]) - Method in class com.xilinx.rapidwright.bitstream.Frame
Updates the linear array of integer words in the frame.
setWorstCasePortDelay(float) - Method in class com.xilinx.rapidwright.design.Port
 
setXDCConstraints(List<String>, ConstraintGroup) - Method in class com.xilinx.rapidwright.design.Design
Sets (overwrites) the XDC commands for this design with those provided.
setXMaxBB(short) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
setXMinBB(short) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
setYMaxBB(short) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
setYMinBB(short) - Method in class com.xilinx.rapidwright.rwroute.Connection
 
SHAPES_REPORT_OPT - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
showBlocking(TileScene) - Static method in class com.xilinx.rapidwright.examples.TileWindow
 
showCompatibleLocations(boolean) - Method in class com.xilinx.rapidwright.design.blocks.GUIPBlock
 
showGuts() - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
 
showMyLines() - Method in class com.xilinx.rapidwright.gui.GUIModuleInst
 
SILENT - Static variable in class com.xilinx.rapidwright.tests.CodePerfTracker
 
SimpleTileRectangle - Class in com.xilinx.rapidwright.design
A TileRectangle that uses Row/Column indices for storage.
SimpleTileRectangle() - Constructor for class com.xilinx.rapidwright.design.SimpleTileRectangle
 
SimpleTileRectangle(int, int, int, int) - Constructor for class com.xilinx.rapidwright.design.SimpleTileRectangle
 
SimpleTileRectangle(SimpleTileRectangle) - Constructor for class com.xilinx.rapidwright.design.SimpleTileRectangle
 
singleThreaded() - Static method in class com.xilinx.rapidwright.edif.EDIFWriteLegalNameCache
 
singleThreadedPool() - Static method in class com.xilinx.rapidwright.util.StringPool
Create a new StringPool that should be only used by a single thread.
Site - Class in com.xilinx.rapidwright.device
This class represents a site, a logic hierarchy level that is below a tile and generally contains one or more BELs.
Site() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site
 
Site() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Site
 
site - Variable in class com.xilinx.rapidwright.interchange.SiteSitePIP
 
SITE_LOCKED_FLAG - Static variable in class com.xilinx.rapidwright.design.ModuleCache
 
SiteBELPin - Class in com.xilinx.rapidwright.interchange
 
SiteBELPin(Site, BELPin) - Constructor for class com.xilinx.rapidwright.interchange.SiteBELPin
 
SiteConfig - Class in com.xilinx.rapidwright.design
Represents a site and keeps tracks of attributes on its BELs.
SiteConstantSource() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource
 
SiteInst - Class in com.xilinx.rapidwright.design
This class represents the instance of a site as configured by the user design.
SiteInst() - Constructor for class com.xilinx.rapidwright.design.SiteInst
Creates a new Instance, everything is empty, false or -1
SiteInst(String, SiteTypeEnum) - Constructor for class com.xilinx.rapidwright.design.SiteInst
Creates a new site instance called name of type.
SiteInst(String, Design, SiteTypeEnum, Site) - Constructor for class com.xilinx.rapidwright.design.SiteInst
Creates a new site instance called name of type type and places it on the site site.
SiteInst(String, Module, SiteTypeEnum, Site) - Constructor for class com.xilinx.rapidwright.design.SiteInst
Creates a new site instance to be a part of a Module.
SiteInstance() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.SiteInstance
 
SitePin - Class in com.xilinx.rapidwright.device
A light-weight object to allow references to a site and pin Created on: Jul 5, 2016
SitePin(Site, String) - Constructor for class com.xilinx.rapidwright.device.SitePin
SitePin constructor from site object and pin name
SitePin() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin
 
SITEPIN_A1_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
SITEPIN_A2_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
SITEPIN_A3_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
SITEPIN_A4_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
SITEPIN_A5_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
SITEPIN_A6_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
SITEPIN_A_I_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
SITEPIN_AX_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
SITEPIN_CKEN1_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
SITEPIN_CKEN2_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
SITEPIN_CKEN3_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
SITEPIN_CKEN4_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
SITEPIN_SRST1_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
SITEPIN_SRST2_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
SITEPIN_WCKEN_DELAY - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
SitePinConstantExceptions() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SitePinConstantExceptions
 
SitePinInst - Class in com.xilinx.rapidwright.design
This class represents the site pin sources and sinks in a physical net.
SitePinInst() - Constructor for class com.xilinx.rapidwright.design.SitePinInst
Constructor setting things to null and false.
SitePinInst(boolean, String, SiteInst) - Constructor for class com.xilinx.rapidwright.design.SitePinInst
Creates a pin from parameters
SitePinInst(String, SiteInst) - Constructor for class com.xilinx.rapidwright.design.SitePinInst
Determines pin direction from site instance type.
SitePinInst(PinType, String, SiteInst) - Constructor for class com.xilinx.rapidwright.design.SitePinInst
Creates a pin from parameters
SitePinInstPort(SitePinInst) - Constructor for class com.xilinx.rapidwright.placer.blockplacer.ImplsInstancePort.SitePinInstPort
 
SitePIP - Class in com.xilinx.rapidwright.device
Represents the arcs present in routing BELs (RBELs) in Sites.
SitePIP() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP
 
sitePIP - Variable in class com.xilinx.rapidwright.interchange.SiteSitePIP
 
SitePIPStatus - Enum in com.xilinx.rapidwright.device
Different modes for site PIPs.
SiteSitePIP - Class in com.xilinx.rapidwright.interchange
 
SiteSitePIP(Site, SitePIP, boolean) - Constructor for class com.xilinx.rapidwright.interchange.SiteSitePIP
 
SiteType() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType
 
SiteTypeBelEntry() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeBelEntry
 
SiteTypeEnum - Enum in com.xilinx.rapidwright.device
Generated on: Wed May 17 23:03:43 2023 by: com.xilinx.rapidwright.release.SiteAndTileTypeUpdater Enumeration of SiteTypeEnum type for all valid devices within Vivado.
SiteTypeInTileType() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType
 
SiteTypeRef() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef
 
SiteWire() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteWire
 
skip(long) - Method in class com.xilinx.rapidwright.edif.EDIFTokenizer
Skip ahead by some offset.
SKIP_ROUTE_RESOURCES_OPTION - Static variable in class com.xilinx.rapidwright.interchange.DeviceResourcesExample
 
SKIP_TEST - Static variable in class com.xilinx.rapidwright.util.Installer
 
SKIP_ZIP_DOWNLOAD - Static variable in class com.xilinx.rapidwright.util.Installer
 
sliceDspBramUramTypes - Static variable in class com.xilinx.rapidwright.util.Utils
 
SLICES_PER_TILE - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
slicex - Static variable in class com.xilinx.rapidwright.examples.PolynomialGenerator
 
slicey - Static variable in class com.xilinx.rapidwright.examples.PolynomialGenerator
 
sliceyOther - Static variable in class com.xilinx.rapidwright.examples.PolynomialGenerator
 
Slow() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow
 
SLR - Class in com.xilinx.rapidwright.device
This class represents a super logic region, or a die within a device.
SLRCrosserGenerator - Class in com.xilinx.rapidwright.examples
Highly parameterizable SLR bridge crossing circuit generator for UltraScale+ devices.
SLRCrosserGenerator() - Constructor for class com.xilinx.rapidwright.examples.SLRCrosserGenerator
 
SmallDelayModel - Class in com.xilinx.rapidwright.timing
Implement DelayModel using as small memory as possible.
SmallDelayModel(DelayModelSource) - Constructor for class com.xilinx.rapidwright.timing.SmallDelayModel
constructor for SmallDelayModel class
SmallestEnclosingCircle - Class in com.xilinx.rapidwright.placer.blockplacer
This class provides methods necessary for determining creating a new point which minimizes the maximum distance from the new point to any point in a given set of points in the plane.
SmallestEnclosingCircle() - Constructor for class com.xilinx.rapidwright.placer.blockplacer.SmallestEnclosingCircle
 
sortEDIFPortInstLists() - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
SortedValidPlacementCache<PlacementT> - Class in com.xilinx.rapidwright.placer.blockplacer
Placements of a Module stored by position on the fabric together with some additional indices.
sortIfStable(Collection<T>, Comparator<T>, boolean) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
sortIfStable(Collection<T>, boolean) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
sortIfStable(Map<T, U>, boolean) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
sources - Variable in class com.xilinx.rapidwright.device.helper.TileSources
Sources of the tile
SourceToSinkINTTileDelayWriter - Class in com.xilinx.rapidwright.util.rwroute
A helper class to write delay from the source to the sink of a routed net (Usage: input.dcp --net net_name outputFilePath --allSinkDelay).
SourceToSinkINTTileDelayWriter() - Constructor for class com.xilinx.rapidwright.util.rwroute.SourceToSinkINTTileDelayWriter
 
spiralOutFrom(Site) - Static method in class com.xilinx.rapidwright.eco.ECOPlacementHelper
Given a home Site, return an Iterable that yields the neighbouring sites encountered when walking outwards in a spiral fashion.
spliterator() - Method in class com.xilinx.rapidwright.placer.blockplacer.LinearCongruentialGenerator
 
stampPlacement(Design, Module, Map<String, Site>) - Static method in class com.xilinx.rapidwright.design.DesignTools
Given a design with multiple identical cell instances, place each of those instances using the stamp module template at the anchored site locations provided in instPlacements.
StampPlacement - Class in com.xilinx.rapidwright.examples
 
StampPlacement() - Constructor for class com.xilinx.rapidwright.examples.StampPlacement
 
StandaloneEntrypoint - Class in com.xilinx.rapidwright
Main entry point for the RapidWright executable stand-alone jar
StandaloneEntrypoint() - Constructor for class com.xilinx.rapidwright.StandaloneEntrypoint
 
start - Variable in class com.xilinx.rapidwright.placer.handplacer.PartitionLine
 
start(String) - Method in class com.xilinx.rapidwright.tests.CodePerfTracker
 
start(String, boolean) - Method in class com.xilinx.rapidwright.tests.CodePerfTracker
 
start() - Method in class com.xilinx.rapidwright.util.RuntimeTracker
 
STARTING_X - Variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
STARTING_X_OPT - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
STARTING_Y - Variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
STARTING_Y_OPT - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
startsWithAny(String, Collection<String>) - Static method in class com.xilinx.rapidwright.util.StringTools
Checks if given string starts with any of the provided prefix strings
State() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State
 
STATIC_SOURCE - Static variable in class com.xilinx.rapidwright.design.SiteInst
Sentinel named SiteInst that is used to provide a static source (such as a configured LUT)
STATUS - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
stitchDebugHubToILA(ModuleInst, EDIFCellInst, ModuleInst, EDIFCellInst) - Method in class com.xilinx.rapidwright.debug.DesignInstrumentor
 
stitchDesign(Design, HashMap<String, PackagePinConstraint>) - Method in class com.xilinx.rapidwright.ipi.BlockStitcher
Stitches the logical netlist components into the black boxes of the top-level EDIF netlist.
stitchProbesOnILA(ModuleInst, EDIFCellInst) - Method in class com.xilinx.rapidwright.debug.DesignInstrumentor
Stitches in the debug unit probes and clock into the user design.
stop() - Method in class com.xilinx.rapidwright.tests.CodePerfTracker
 
stop(String) - Method in class com.xilinx.rapidwright.tests.CodePerfTracker
 
stop() - Method in class com.xilinx.rapidwright.util.RuntimeTracker
Stops the runtime tracker and stores the total time elapsed in nanoseconds.
streamCellReferences() - Method in class com.xilinx.rapidwright.edif.ParallelEDIFParserWorker
 
StreamGobbler - Class in com.xilinx.rapidwright.util
Class to help concurrent threads read stdio/stderr.
StreamGobbler(InputStream, boolean) - Constructor for class com.xilinx.rapidwright.util.StreamGobbler
 
StringCompare() - Constructor for class com.xilinx.rapidwright.interchange.EnumerateCellBelMapping.StringCompare
 
StringEnumerator - Class in com.xilinx.rapidwright.interchange
 
StringEnumerator() - Constructor for class com.xilinx.rapidwright.interchange.StringEnumerator
 
StringPool - Class in com.xilinx.rapidwright.util
Deduplicate Strings for optimized memory usage
StringRef() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.StringRef
 
StringRef() - Constructor for class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef
 
StringRef() - Constructor for class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef
 
StringTools - Class in com.xilinx.rapidwright.util
A set of String utility methods.
StringTools() - Constructor for class com.xilinx.rapidwright.util.StringTools
 
stringToValue(String) - Static method in enum com.xilinx.rapidwright.design.noc.ChannelType
 
stringToValue(String) - Static method in enum com.xilinx.rapidwright.design.noc.CommunicationType
 
stringToValue(String) - Static method in enum com.xilinx.rapidwright.design.noc.ComponentType
 
stringToValue(String) - Static method in enum com.xilinx.rapidwright.design.noc.ProtocolType
 
stringToValue(String) - Static method in enum com.xilinx.rapidwright.design.noc.TrafficClass
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELInverter
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELPin
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelPinEntry
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellInversion
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellParameterDefinition
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnection
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnections
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.NodeConstantSource
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SitePinConstantExceptions
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElement
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Node
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Grade
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinitions
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapEntry
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParentPins
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PseudoCell
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Site
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeBelEntry
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteWire
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Wire
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireConstantSources
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireType
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.HashSet
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.StringRef
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.HashSet
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bitstring
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bus
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.HashSet
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.MultiCellPinMapping
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBel
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBelPin
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysCell
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNode
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePin
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Property
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.SiteInstance
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist
 
STRUCT_SIZE - Static variable in class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.BELPinRef.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELInverter.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BELPin.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelMapping.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellBelPinEntry.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellInversion.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellParameterDefinition.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversion.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CommonCellBelPinMaps.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnection.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.DefaultCellConnections.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.NodeConstantSource.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SiteConstantSource.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.SitePinConstantExceptions.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTag.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPin.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.RoutedTagPort.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.State.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutBel.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElement.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutElements.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Node.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.NodeTiming.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.Grade.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterCellBelPinMaps.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinition.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterDefinitions.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapEntry.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterSiteTypeBelEntry.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParentPins.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelay.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIPTiming.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PseudoCell.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Site.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePIP.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteType.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeBelEntry.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteTypeInTileType.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SiteWire.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Wire.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireConstantSources.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireType.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.HashSet.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.NodeTimingRef.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.PIPTimingRef.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.SiteTypeRef.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.StringRef.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireRef.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.CellRef.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.HashSet.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.InstRef.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bitstring.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Bus.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Cell.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellDeclaration.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.CellInstance.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Net.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.PortRef.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.StringRef.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.HashSet.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.CellPlacement.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.MultiCellPinMapping.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBel.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysBelPin.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysCell.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNet.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysNode.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePin.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.Property.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.SiteInstance.Factory
 
structSize() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.StringRef.Factory
 
SUB_IMPL - Static variable in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
submit(Callable<T>) - Static method in class com.xilinx.rapidwright.util.ParallelismTools
Submit a task-with-return-value to the thread pool.
submit(Runnable) - Static method in class com.xilinx.rapidwright.util.ParallelismTools
Submit a task-without-return-value to the thread pool.
SubPBlock - Class in com.xilinx.rapidwright.design.blocks
Keeps track of a constrained pblock within a pblock.
SubPBlock(Device, String) - Constructor for class com.xilinx.rapidwright.design.blocks.SubPBlock
 
SUPER_LONG_LINE_LENGTH_IN_TILES - Static variable in class com.xilinx.rapidwright.rwroute.RouteNodeGraph
 
superSink - Variable in class com.xilinx.rapidwright.timing.TimingGraph
 
superSource - Variable in class com.xilinx.rapidwright.timing.TimingGraph
The superSource and superSink are used to consolidate all timing start and end points, respectively.
SUPPORTED_SERIES - Static variable in class com.xilinx.rapidwright.rwroute.RWRoute
 
swapLUTInputPins(SitePinInst, String) - Static method in class com.xilinx.rapidwright.router.Router
Changes the physical pin mapping of lutInput to an alternate physical pin on a LUT in provide for an alternative routing solution.
swapLUTPinForUnused(SitePinInst) - Method in class com.xilinx.rapidwright.router.Router
 
swapLutPinsFromPIPs(Design) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
Analyze the routing PIPs of a design to identify (based on whether a PIP existing on a Net drives the expected SitePin based on Net.getPins()) and perform any necessary LUT pin swapping.
swapMultipleLutPins(Map<SitePinInst, String>) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
Given a mapping from old SitePinInsts to new site pin name, update all state necessary to reflect these LUT pin swaps.
swapSingleLutPins(String, Collection<PinSwap>) - Static method in class com.xilinx.rapidwright.design.tools.LUTTools
For each pair of LUT sites (5LUT/6LUT), perform pin swapping.
switchMatrixTypes - Static variable in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer
A set of all TileTypes that have switch matrices in them
symmetricClkRouting(Net, Device, Function<Node, NodeStatus>) - Static method in class com.xilinx.rapidwright.rwroute.GlobalSignalRouting
Routes a clock net by dividing the target clock regions into two groups and routes to the two groups with different centroid nodes.
SYNC - Static variable in class com.xilinx.rapidwright.bitstream.Packet
A 'Sync Word' packet
SYNC_WORD - Static variable in class com.xilinx.rapidwright.bitstream.BitstreamHeader
Common SYNC word, terminates a header

T

table(String, String, String, MacroParamTableEntry[]) - Static method in class com.xilinx.rapidwright.interchange.MacroParamRule
 
Tag() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag
 
TagPair() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair
 
TagStates() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates
 
TCL - Static variable in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
TCL_FOLDER_NAME - Static variable in class com.xilinx.rapidwright.util.FileTools
Tcl source folder name
TECHNOLOGY - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
testNetGenerator(Net) - Static method in class com.xilinx.rapidwright.util.CodeGenerator
Convenience method to take a net and return a String the generates the routed net for an example test case in RapidWright code
testNetGenerator(String, Collection<String>, Collection<String>) - Static method in class com.xilinx.rapidwright.util.CodeGenerator
Generates RapidWright test code from a provided checkpoint that instantiates the provided nets and siteInsts, and adds the associated PIPs to each of the nets.
testNetGenerator(String, String, Collection<String>, Collection<String>) - Static method in class com.xilinx.rapidwright.util.CodeGenerator
Generates RapidWright test code from a provided checkpoint that instantiates the provided nets and siteInsts, and adds the associated PIPs to each of the nets.
testNetGenerator(Design, Collection<String>, Collection<String>) - Static method in class com.xilinx.rapidwright.util.CodeGenerator
Generates RapidWright test code from a provided checkpoint that instantiates the provided nets and siteInsts, and adds the associated PIPs to each of the nets.
Tile - Class in com.xilinx.rapidwright.device
Tiles are one of basic building blocks of Xilinx FPGAs.
Tile() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Tile
 
tile - Variable in class com.xilinx.rapidwright.router.RouteNode
This is the tile where the node/wire resides
TileColors - Class in com.xilinx.rapidwright.gui
This class is simply a suggested coloring of tile types for displaying a grid of tiles.
TileColors() - Constructor for class com.xilinx.rapidwright.gui.TileColors
 
TileColumnPattern - Class in com.xilinx.rapidwright.device.helper
Represents a pattern of tile columns found on a Xilinx device.
TileColumnPattern() - Constructor for class com.xilinx.rapidwright.device.helper.TileColumnPattern
 
tileOccupantCount - Variable in class com.xilinx.rapidwright.gui.TileScene
 
TileRectangle - Class in com.xilinx.rapidwright.design
A Rectangle of tiles, i.e.
TileRectangle() - Constructor for class com.xilinx.rapidwright.design.TileRectangle
 
tileRectToQRect(TileRectangle) - Method in class com.xilinx.rapidwright.gui.PBlockScene
 
TileScene - Class in com.xilinx.rapidwright.gui
This class is used for the design explorer although, it could be used for building other applications as well.
TileScene() - Constructor for class com.xilinx.rapidwright.gui.TileScene
Empty constructor
TileScene(Design, boolean, boolean) - Constructor for class com.xilinx.rapidwright.gui.TileScene
Creates a new tile scene with a design.
TileScene(Device, boolean, boolean) - Constructor for class com.xilinx.rapidwright.gui.TileScene
Creates a new tile scene with a device.
tileSize - Variable in class com.xilinx.rapidwright.gui.TileScene
The rendered size of each Tile
TileSources - Class in com.xilinx.rapidwright.device.helper
A helper class to help remove duplicate objects and reduce memory usage and file size of the Device class.
TileSources(int[]) - Constructor for class com.xilinx.rapidwright.device.helper.TileSources
 
TileType() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.TileType
 
TileTypeEnum - Enum in com.xilinx.rapidwright.device
Generated on: Fri Oct 20 23:25:44 2023 by: com.xilinx.rapidwright.release.SiteAndTileTypeUpdater Enumeration of TileTypeEnum type for all valid devices within Vivado.
TileTypeRef() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.TileTypeRef
 
TileView - Class in com.xilinx.rapidwright.gui
This class is written specifically for the DeviceBrowser class and provides the Qt View.
TileView(QGraphicsScene) - Constructor for class com.xilinx.rapidwright.gui.TileView
Constructor
TileWindow - Class in com.xilinx.rapidwright.examples
Creates a zoomable UI view of a provided TileScene
TileWindow(QWidget, TileScene) - Constructor for class com.xilinx.rapidwright.examples.TileWindow
Constructor of a new PartTileBrowser
tileXMap - Variable in class com.xilinx.rapidwright.gui.TileScene
Gets the X coordinate of the tile in the drawnTiles grid
tileYMap - Variable in class com.xilinx.rapidwright.gui.TileScene
Gets the Y coordinate of the tile in the drawnTiles grid
TIMESTAMP - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
TIMING_DATA_DIR - Static variable in class com.xilinx.rapidwright.timing.TimingModel
 
TimingAndWirelengthReport - Class in com.xilinx.rapidwright.rwroute
An example to report the critical path delay and total wirelength of a routed design.
TimingAndWirelengthReport(Design, RWRouteConfig, boolean) - Constructor for class com.xilinx.rapidwright.rwroute.TimingAndWirelengthReport
 
timingConstraintsMet - Variable in class com.xilinx.rapidwright.util.performance_evaluation.TimingResults
 
TimingDirection - Enum in com.xilinx.rapidwright.timing
Compass directions that are used in the naming convention for wires to represent their directions.
TimingEdge - Class in com.xilinx.rapidwright.timing
Edges within a TimingGraph that encapsulate logic delays, net delays, and debug information.
TimingEdge(TimingVertex, TimingVertex) - Constructor for class com.xilinx.rapidwright.timing.TimingEdge
Constructs a TimingEdge based only on specifying two vertices.
TimingEdge(TimingGraph, TimingVertex, TimingVertex) - Constructor for class com.xilinx.rapidwright.timing.TimingEdge
 
TimingEdge(TimingGraph, TimingVertex, TimingVertex, EDIFNet, Net) - Constructor for class com.xilinx.rapidwright.timing.TimingEdge
Constructs a TimingEdge with the expected information for the TimingGraph.
TimingGraph - Class in com.xilinx.rapidwright.timing
A TimingGraph is an acyclic weighted-directed graph representing logic delays and physical net delays based on analyzing the circuits within Design objects.
TimingGraph(Design) - Constructor for class com.xilinx.rapidwright.timing.TimingGraph
Creates a TimingGraph for the purpose of report_timing based on analyzing nets within a Design object.
TimingGraph(Design, RuntimeTrackerTree, ClkRouteTiming, String) - Constructor for class com.xilinx.rapidwright.timing.TimingGraph
 
TimingGroup - Class in com.xilinx.rapidwright.timing
A TimingGroup is our main hardware abstraction proposed by our FPT'19 paper: a TimingGroup abstracts over a set of connected PIPs, Nodes, and pins in order to create a coarser grain unit for which we calculate the delay.
TimingGroup(TimingModel) - Constructor for class com.xilinx.rapidwright.timing.TimingGroup
Default constructor used by the TimingModel to create a TimingGroup.
TimingGroup(SitePinInst, TimingModel) - Constructor for class com.xilinx.rapidwright.timing.TimingGroup
Constructor used for Router example to create a TimingGroup, starting at a given SitePinInst.
TimingManager - Class in com.xilinx.rapidwright.timing
A TimingManager sets up and creates an example TimingModel and an example TimingGraph for a given Design.
TimingManager(Design) - Constructor for class com.xilinx.rapidwright.timing.TimingManager
Default constructor: creates the TimingManager object, which the user needs to create for using our TimingModel, and then it builds the model.
TimingManager(Design, boolean) - Constructor for class com.xilinx.rapidwright.timing.TimingManager
Alternate constructor for creating the objects for the TimingModel, but with the choice to not build the model yet.
TimingManager(Design, RuntimeTrackerTree, RWRouteConfig, ClkRouteTiming, Collection<Net>, boolean) - Constructor for class com.xilinx.rapidwright.timing.TimingManager
 
TimingModel - Class in com.xilinx.rapidwright.timing
A TimingModel calculates net delay by implementing the lightweight timing model described in our FPT'19 paper.
TimingModel(Device) - Constructor for class com.xilinx.rapidwright.timing.TimingModel
A TimingModel is the object for calculating the net delay between two pins on a net.
TimingModel.GetTileAt - Interface in com.xilinx.rapidwright.timing
 
TimingResults - Class in com.xilinx.rapidwright.util.performance_evaluation
 
TimingResults(String, double, double, boolean, double, int, Path) - Constructor for class com.xilinx.rapidwright.util.performance_evaluation.TimingResults
 
TimingVertex - Class in com.xilinx.rapidwright.timing
A TimingVertex represents a node within the TimingGraph.
TimingVertex(String) - Constructor for class com.xilinx.rapidwright.timing.TimingVertex
Creates a vertex for insertion into the TimingGraph.
TimingVertex(String, boolean) - Constructor for class com.xilinx.rapidwright.timing.TimingVertex
Create a timing vertex for insertion into the timing graph
to - Variable in class com.xilinx.rapidwright.interchange.MacroParamTableEntry
 
toByteArray() - Method in enum com.xilinx.rapidwright.edif.EDIFDirection
 
toCSV(String, Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
Creates two CSV files based on this design, one for instances and one for nets.
toggleBit(int) - Method in class com.xilinx.rapidwright.bitstream.Frame
Toggles or flips the bit stored at the location index within the frame.
toGraphvizDotString() - Method in class com.xilinx.rapidwright.timing.TimingEdge
Returns a string representing the edge to help towards printing out a textual dot file for creating a GraphViz dot visualization of the graph.
TokenTooLongException - Exception in com.xilinx.rapidwright.edif
 
TokenTooLongException(EDIFToken, String) - Constructor for exception com.xilinx.rapidwright.edif.TokenTooLongException
 
TokenTooLongException(String) - Constructor for exception com.xilinx.rapidwright.edif.TokenTooLongException
 
TokenTooLongException(String, Throwable) - Constructor for exception com.xilinx.rapidwright.edif.TokenTooLongException
 
toolbar - Variable in class com.xilinx.rapidwright.placer.handplacer.HandPlacer
 
TOP_HALF - Static variable in class com.xilinx.rapidwright.bitstream.FAR
The encoding in the FAR for an address to be specified in the top half of the device (Series 7 only)
toString() - Method in class com.xilinx.rapidwright.bitstream.BitLocation
 
toString() - Method in class com.xilinx.rapidwright.bitstream.Block
 
toString() - Method in class com.xilinx.rapidwright.bitstream.BlockSubType
 
toString() - Method in class com.xilinx.rapidwright.bitstream.FAR
 
toString() - Method in class com.xilinx.rapidwright.bitstream.Frame
 
toString(boolean) - Method in class com.xilinx.rapidwright.bitstream.Frame
Creates a formatted string of the frame and optionally data in the frame (hex words)
toString() - Method in class com.xilinx.rapidwright.bitstream.Packet
 
toString() - Method in class com.xilinx.rapidwright.design.AbstractModuleInst
 
toString() - Method in class com.xilinx.rapidwright.design.AltPinMapping
 
toString() - Method in class com.xilinx.rapidwright.design.blocks.PBlock
 
toString() - Method in class com.xilinx.rapidwright.design.blocks.PBlockRange
 
toString() - Method in class com.xilinx.rapidwright.design.Cell
 
toString() - Method in class com.xilinx.rapidwright.design.compare.DesignDiff
 
toString() - Method in class com.xilinx.rapidwright.design.Design
 
toString() - Method in class com.xilinx.rapidwright.design.Module
 
toString() - Method in class com.xilinx.rapidwright.design.ModulePlacement
 
toString() - Method in class com.xilinx.rapidwright.design.Net
 
toString() - Method in enum com.xilinx.rapidwright.design.noc.ChannelType
 
toString() - Method in enum com.xilinx.rapidwright.design.noc.CommunicationType
 
toString() - Method in class com.xilinx.rapidwright.design.noc.NOCClient
Gets the String representation of this client
toString() - Method in enum com.xilinx.rapidwright.design.noc.ProtocolType
 
toString() - Method in enum com.xilinx.rapidwright.design.noc.TrafficClass
 
toString() - Method in class com.xilinx.rapidwright.design.PartitionPin
Gets a string representation of this partition pin
toString() - Method in class com.xilinx.rapidwright.design.PinSwap
 
toString() - Method in class com.xilinx.rapidwright.design.Port
 
toString() - Method in class com.xilinx.rapidwright.design.RelocatableTileRectangle
 
toString() - Method in class com.xilinx.rapidwright.design.SiteInst
 
toString() - Method in class com.xilinx.rapidwright.design.SitePinInst
Generates a string representation of the pin.
toString() - Method in class com.xilinx.rapidwright.design.VivadoProp
 
toString() - Method in class com.xilinx.rapidwright.device.BEL
Gets the name of the BEL with its classification in parenthesis.
toString() - Method in class com.xilinx.rapidwright.device.BELPin
 
toString() - Method in class com.xilinx.rapidwright.device.ClockRegion
 
toString() - Method in class com.xilinx.rapidwright.device.Device
 
toString() - Method in class com.xilinx.rapidwright.device.Grade
 
toString() - Method in class com.xilinx.rapidwright.device.IOBank
Gets the name of the IOBank
toString() - Method in class com.xilinx.rapidwright.device.Node
Gets the Vivado string representation of the node
toString() - Method in class com.xilinx.rapidwright.device.Package
 
toString() - Method in class com.xilinx.rapidwright.device.PackagePin
 
toString() - Method in class com.xilinx.rapidwright.device.Part
 
toString() - Method in class com.xilinx.rapidwright.device.PIP
 
toString() - Method in class com.xilinx.rapidwright.device.Site
 
toString() - Method in class com.xilinx.rapidwright.device.SitePin
 
toString() - Method in class com.xilinx.rapidwright.device.SitePIP
 
toString() - Method in class com.xilinx.rapidwright.device.SLR
Gets the name of the SLR (ex: SLR0).
toString() - Method in class com.xilinx.rapidwright.device.Tile
 
toString() - Method in class com.xilinx.rapidwright.device.Wire
 
toString() - Method in class com.xilinx.rapidwright.edif.compare.EDIFDiff
 
toString() - Method in class com.xilinx.rapidwright.edif.EDIFChange
 
toString() - Method in class com.xilinx.rapidwright.edif.EDIFChangeNet
 
toString() - Method in class com.xilinx.rapidwright.edif.EDIFHierCellInst
 
toString() - Method in class com.xilinx.rapidwright.edif.EDIFHierNet
 
toString() - Method in class com.xilinx.rapidwright.edif.EDIFHierPortInst
 
toString() - Method in class com.xilinx.rapidwright.edif.EDIFName
 
toString() - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
toString() - Method in class com.xilinx.rapidwright.edif.EDIFPropertyValue
 
toString() - Method in enum com.xilinx.rapidwright.edif.EDIFValueType
 
toString() - Method in class com.xilinx.rapidwright.edif.ParallelEDIFParserWorker
 
toString() - Method in class com.xilinx.rapidwright.interchange.RouteBranchNode
 
toString() - Method in class com.xilinx.rapidwright.interchange.SiteBELPin
 
toString() - Method in class com.xilinx.rapidwright.interchange.SiteSitePIP
 
toString() - Method in class com.xilinx.rapidwright.ipi.PackagePinConstraint
 
toString() - Method in class com.xilinx.rapidwright.placer.blockplacer.HardMacro
 
toString() - Method in class com.xilinx.rapidwright.placer.blockplacer.Move
 
toString() - Method in class com.xilinx.rapidwright.placer.blockplacer.Move2
 
toString() - Method in class com.xilinx.rapidwright.placer.blockplacer.Point
 
toString() - Method in class com.xilinx.rapidwright.placer.blockplacer.PortWire
 
toString() - Method in class com.xilinx.rapidwright.router.RouteNode
 
toString() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
toString() - Method in class com.xilinx.rapidwright.rwroute.LightweightRouteNode
 
toString() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
 
toString() - Method in class com.xilinx.rapidwright.rwroute.RWRouteConfig
 
toString() - Method in class com.xilinx.rapidwright.timing.TimingEdge
Represents this edge as a String for debug, etc.
toString() - Method in class com.xilinx.rapidwright.timing.TimingGroup
Returns a String representation of this object that may be useful for debugging.
toString() - Method in class com.xilinx.rapidwright.timing.TimingVertex
Returns a String representation of this object.
toString() - Method in class com.xilinx.rapidwright.util.Job
 
toString() - Method in class com.xilinx.rapidwright.util.Pair
 
toString() - Method in class com.xilinx.rapidwright.util.performance_evaluation.TimingResults
 
toString() - Method in class com.xilinx.rapidwright.util.RuntimeTracker
 
toString() - Method in class com.xilinx.rapidwright.util.RuntimeTrackerTree
 
toStringFull() - Method in class com.xilinx.rapidwright.design.Net
Creates a string representation of the net (for debugging).
toStringOnSitePinInsts() - Method in class com.xilinx.rapidwright.timing.TimingEdge
 
totalNegSlack - Variable in class com.xilinx.rapidwright.util.performance_evaluation.TimingResults
 
trackChange(EDIFChangeType, String) - Method in class com.xilinx.rapidwright.edif.EDIFCell
 
trackChange(EDIFCell, EDIFChangeType, String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
trackChanges() - Method in class com.xilinx.rapidwright.design.SiteInst
 
trackChanges(EDIFChangeType, EDIFCellInst, String) - Method in class com.xilinx.rapidwright.edif.EDIFNet
 
trackerWithOneLevelChidren() - Method in class com.xilinx.rapidwright.util.RuntimeTracker
Returns a string representing a runtime tracker and its child trackers.
TrafficClass - Enum in com.xilinx.rapidwright.design.noc
Enumerates traffic classification on a given connection
trakerWithFullHierarchy() - Method in class com.xilinx.rapidwright.util.RuntimeTracker
Returns a string that represents the full hierarchy of a runtime tracker, including all the downhill runtime trackers to the leaf runtime trackers.
transitionCentroidToDistributionLine(Net, RouteNode) - Static method in class com.xilinx.rapidwright.router.UltraScaleClockRouting
Routes the centroid route track to a vertical distribution track to realize the centroid and root of the clock.
transitionCentroidToDistributionLine(Net, RouteNode, ClockRegion) - Static method in class com.xilinx.rapidwright.router.UltraScaleClockRouting
 
transitionCentroidToVerticalDistributionLine(Net, RouteNode, boolean) - Static method in class com.xilinx.rapidwright.router.UltraScaleClockRouting
 
transYellow - Static variable in class com.xilinx.rapidwright.design.blocks.GUIPBlock
 
trimPartitionPins(Pair<Tile, Tile>) - Method in class com.xilinx.rapidwright.design.Design
Remove excess routing from all Partition pins beyond the first node within a tile range.
trimPartitionPins(Pair<Tile, Tile>) - Method in class com.xilinx.rapidwright.design.Net
Remove partition pin routing beyond the first node in range.
twrFilter - Static variable in class com.xilinx.rapidwright.gui.FileFilters
Xilinx Trace Report File Filter
Typ() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ
 
type - Variable in class com.xilinx.rapidwright.rwroute.RouteNodeInfo
 
type() - Method in enum com.xilinx.rapidwright.timing.delayestimator.InterconnectInfo.NodeGroupType
 
TYPE1_WORD_COUNT_MASK - Static variable in enum com.xilinx.rapidwright.bitstream.PacketType
Packet Type 1 Word Count [10:0]
TYPE2_WORD_COUNT_MASK - Static variable in enum com.xilinx.rapidwright.bitstream.PacketType
Packet Type 2 Word Count [26:0]
TYPICAL_BLOCK_TYPE_COUNT - Static variable in enum com.xilinx.rapidwright.bitstream.BlockType
Most bitstreams will have a typical block type count of this amount

U

UiPBlock - Class in com.xilinx.rapidwright.gui
A named and colored PBlock that can be shown in a PBlockScene
UiPBlock(TileRectangle, String, QColor) - Constructor for class com.xilinx.rapidwright.gui.UiPBlock
 
UiPBlock(TileRectangle, String, QColor, Integer) - Constructor for class com.xilinx.rapidwright.gui.UiPBlock
 
UiTools - Class in com.xilinx.rapidwright.gui
 
UltraScaleClockRouting - Class in com.xilinx.rapidwright.router
A collection of utility methods for routing clocks on the UltraScale architecture.
UltraScaleClockRouting() - Constructor for class com.xilinx.rapidwright.router.UltraScaleClockRouting
 
ultraScaleFlopNames - Static variable in class com.xilinx.rapidwright.eco.ECOPlacementHelper
 
undoCount - Variable in class com.xilinx.rapidwright.placer.blockplacer.AbstractPath
 
undoMove() - Method in class com.xilinx.rapidwright.placer.blockplacer.Move
 
undoMove() - Method in class com.xilinx.rapidwright.placer.blockplacer.Move2
 
unexpectedEOF() - Static method in exception com.xilinx.rapidwright.edif.EDIFParseException
 
unFixPin(String) - Method in class com.xilinx.rapidwright.design.Cell
Unmarks a pin on the cell as fixed by its physical name.
UNIQUE_COUNT - Static variable in class com.xilinx.rapidwright.edif.EDIFTools
 
uniqueDriverCount() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Gets the number of unique drivers.
uniqueifyCellsWithPrefix(String) - Method in class com.xilinx.rapidwright.edif.EDIFLibrary
This method prepares all the cells in the library for a merger with another library by adding a unique prefix to all cell names.
uniqueifyNetlist(Design) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Duplicates EDIFCells such that each EDIFCellInst only instantiates an EDIFCell once (except primitives and macros).
uniqueUserCount() - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Gets the number of unique users.
uniquifyName(String) - Method in class com.xilinx.rapidwright.util.StringPool
 
Unisim - Enum in com.xilinx.rapidwright.design
Generated on: Fri Oct 20 23:25:44 2023 by: com.xilinx.rapidwright.release.UnisimParser Enumerates supported Unisim primitives that map to Xilinx devices.
UNISIM_DATA_FILE_NAME - Static variable in class com.xilinx.rapidwright.util.FileTools
File name of the UnisimManager initialization data file (replaced HDI_PRIMITIVES_FILE_NAME and VALID_CELL_PLACEMENTS_FILE_NAME)
UNISIM_DATA_FILE_VERSION - Static variable in class com.xilinx.rapidwright.util.FileTools
Unisim Data File Version
unlockNetlist(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
Unlocks the logical netlist of the design by removing the DONT_TOUCH property.
unlockNetlist(EDIFNetlist) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Unlocks the netlist by removing the DONT_TOUCH property on instances and nets so that Vivado won't make changes to it during opt_design, place_design, phys_opt_design, or route_design.
unlockPlacement(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
Unlocks placement of cells of a design.
unlockRouting(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
Unlocks any and all routing of a design.
unlockRouting() - Method in class com.xilinx.rapidwright.design.Net
Unlocks or unfixes the routing such that Vivado can change the route.
UNPACK_FOLDERS - Static variable in class com.xilinx.rapidwright.util.FileTools
Supporting data folders packed in standalone jars of RapidWright
UNPACK_OPTION_NAME - Static variable in class com.xilinx.rapidwright.StandaloneEntrypoint
Option to unpack ./data/ directory into current directory
UNPACK_OPTION_NAME - Static variable in class com.xilinx.rapidwright.util.RapidWright
Deprecated.
Option to unpack ./data/ directory into current directory
unPackSupportingJarData() - Static method in class com.xilinx.rapidwright.util.FileTools
Used for unpacking data files inside a standalone jar to be used for regular use by RapidWright.
unplace() - Method in class com.xilinx.rapidwright.design.AbstractModuleInst
 
unplace() - Method in class com.xilinx.rapidwright.design.Cell
Unplaces this cell.
unplace() - Method in class com.xilinx.rapidwright.design.ModuleImplsInst
 
unplace() - Method in class com.xilinx.rapidwright.design.ModuleInst
Removes all placement information and unroutes all nets of the module instance.
unPlace() - Method in class com.xilinx.rapidwright.design.SiteInst
Removes all placement information for the instance.
unplace(ModuleInstT) - Method in class com.xilinx.rapidwright.placer.blockplacer.AbstractOverlapCache
 
unplace(ModuleInstT) - Method in class com.xilinx.rapidwright.placer.blockplacer.ExhaustiveOverlapCache
 
unplace(ModuleInstT) - Method in class com.xilinx.rapidwright.placer.blockplacer.RegionBasedOverlapCache
Remove an Instance from the cache.
unplaceDesign() - Method in class com.xilinx.rapidwright.design.Design
Will unroute and unplace the design, no site information will be retained.
unpreserve(Node) - Method in class com.xilinx.rapidwright.rwroute.RouteNodeGraph
 
unroute() - Method in class com.xilinx.rapidwright.design.Net
This removes all PIPs from this net, causing it to be in an unrouted state.
unrouteAlternativeOutputSitePin(Net) - Static method in class com.xilinx.rapidwright.design.DesignTools
Un-routes (within the site) the alternate source pin on the provided net.
unrouteCellPinSiteRouting(Cell, String) - Static method in class com.xilinx.rapidwright.design.DesignTools
Unroutes the site routing connected to the provided cell's logical pin.
unrouteDesign() - Method in class com.xilinx.rapidwright.design.Design
Unroutes the current design by removing all PIPs.
unroutedNets - Variable in class com.xilinx.rapidwright.util.ReportRouteStatusResult
 
unrouteDualOutputSitePinRouting(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
In Series7 and UltraScale architectures, there are dual output site pin scenarios where an optional additional output can be used to drive out of the SLICE using the OUTMUX routing BEL.
unrouteGNDNetAndLUTSources(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
Unroutes the GND net of a design and unroutes the site routing of any LUT GND sources while leaving other site routing inputs intact.
unrouteIntraSiteNet(BELPin, BELPin) - Method in class com.xilinx.rapidwright.design.SiteInst
Unroutes an intra-site net (or the portion of a net that is inside this site).
unrouteLogicalPinInSite(String) - Method in class com.xilinx.rapidwright.design.Cell
Unroutes the site routing of the provided logical pin.
unroutePin(SitePinInst) - Method in class com.xilinx.rapidwright.design.Net
Unroute from given pin to the nearest branch of its net.
unroutePins(Net, Collection<SitePinInst>) - Static method in class com.xilinx.rapidwright.design.DesignTools
Unroutes pins from a specific net by only removing the routing (PIPs) that are essential for those pins.
unrouteSite() - Method in class com.xilinx.rapidwright.design.SiteInst
Unroutes the entire site.
unrouteSites() - Method in class com.xilinx.rapidwright.design.Design
Removes all site routing from all instantiated sites in the design.
unrouteSourcePin(SitePinInst) - Static method in class com.xilinx.rapidwright.design.DesignTools
Unroutes a SitePinInst of a net.
unsetTempAnchorSite() - Method in class com.xilinx.rapidwright.placer.blockplacer.HardMacro
 
Unzip - Class in com.xilinx.rapidwright.util
Simple tool for unziping files that is platform agnostic.
Unzip() - Constructor for class com.xilinx.rapidwright.util.Unzip
 
unzipFile(String, String) - Static method in class com.xilinx.rapidwright.util.FileTools
 
unzipFile(String, String) - Static method in class com.xilinx.rapidwright.util.Installer
Unzips a file into a specified directory
update(T, int) - Method in class com.xilinx.rapidwright.interchange.IdentityEnumerator
 
update(Long, int) - Method in class com.xilinx.rapidwright.interchange.LongEnumerator
 
update(String, int) - Method in class com.xilinx.rapidwright.interchange.StringEnumerator
 
updateAllDataFiles() - Static method in class com.xilinx.rapidwright.util.FileTools
 
updateAnchorToBRAM(Module) - Static method in class com.xilinx.rapidwright.examples.PicoBlazeArray
To make it easier to specify placement, we change the anchor to the BRAM instance
updateBit(BitLocation, Tile, int) - Method in class com.xilinx.rapidwright.bitstream.Block
For the given bit location, it updates the bit to value and returns the previous value.
updateBit(BitLocation, Tile, int, Block) - Method in class com.xilinx.rapidwright.bitstream.Block
For the given bit location, it updates the bit to value and returns the previous value.
updateClockUncertaintyValues() - Method in class com.xilinx.rapidwright.util.PerformanceExplorer
 
updateCRC(Packet) - Method in class com.xilinx.rapidwright.bitstream.CRC
Updates the current CRC value to emulate behavior induced by the provided packet being applied to the configuration array.
updateCRC(Bitstream) - Static method in class com.xilinx.rapidwright.util.RelocateBitstreamByRow
Update multiple CRC within a partial bitstream.
updateCRCPackets() - Method in class com.xilinx.rapidwright.bitstream.Bitstream
Updates the CRC packets in the current packet list to be consistent with any recent changes.
updateCurrXY(int, int) - Method in class com.xilinx.rapidwright.gui.TileScene
 
updateCursor() - Method in class com.xilinx.rapidwright.gui.TileScene
 
updateDesign(ArrayList<PartitionLine>) - Method in class com.xilinx.rapidwright.placer.handplacer.HandPlacer
 
updateDesignWithCheckpointPlaceAndRoute(Path) - Method in class com.xilinx.rapidwright.design.Design
Applies the placement and routing of the provided DCP to the netlist given in this design.
updateDesignWithCheckpointPlaceAndRoute(String) - Method in class com.xilinx.rapidwright.design.Design
Applies the placement and routing of the provided DCP to the netlist given in this design.
updateECCBits() - Method in class com.xilinx.rapidwright.bitstream.Frame
Updates the ECC bits based on the current frame contents
updateIllegalNetsDelays(Set<NetWrapper>, Map<Node, Float>) - Method in class com.xilinx.rapidwright.timing.TimingManager
Updates the delay of nets after the cycle removal and delay-aware path merging.
updateJars() - Static method in class com.xilinx.rapidwright.util.Installer
 
updateLine() - Method in class com.xilinx.rapidwright.placer.handplacer.GUIMultiNetLine
 
updateLine() - Method in class com.xilinx.rapidwright.placer.handplacer.GUINetLine
 
updateName(String) - Method in class com.xilinx.rapidwright.design.Cell
Updates the cell name, such as when instantiating this cell in a larger design to add a prefix.
updateName(String) - Method in class com.xilinx.rapidwright.design.Net
Updates the net name, such as when instantiating this net in a larger design to add a prefix.
updateName(String) - Method in class com.xilinx.rapidwright.tests.CodePerfTracker
 
updatePacketsFromConfigArray() - Method in class com.xilinx.rapidwright.bitstream.Bitstream
Updates the bitstream packets from the current state of the configuration array.
updatePBlock() - Method in class com.xilinx.rapidwright.design.blocks.GUIPBlock
 
updatePinsIsRouted(Net) - Static method in class com.xilinx.rapidwright.design.DesignTools
Update the SitePinInst.isRouted() value of all pins on the given Net.
updatePinsIsRouted(Design) - Static method in class com.xilinx.rapidwright.design.DesignTools
Update the SitePinInst.isRouted() value of all sink pins in the given Design.
updatePresentCongestionCost(float) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
Updates the present congestion cost based on the present congestion penalty factor.
updateProbeConnections(Design, Map<String, String>) - Static method in class com.xilinx.rapidwright.debug.ProbeRouter
Updates a design containing an ILA (integrated logic analyzer) probe connections that already exist in a design.
updateProbeConnections(Design, Map<String, String>, PBlock) - Static method in class com.xilinx.rapidwright.debug.ProbeRouter
Updates a design containing an ILA (integrated logic analyzer) probe connections that already exist in a design.
updateRouteDelay() - Method in class com.xilinx.rapidwright.rwroute.Connection
 
UpdateRoutingUsingSATRouter - Class in com.xilinx.rapidwright.examples
Example of how to invoke SAT Router to replace failed routing run.
UpdateRoutingUsingSATRouter() - Constructor for class com.xilinx.rapidwright.examples.UpdateRoutingUsingSATRouter
 
updateSitePinInsts() - Method in class com.xilinx.rapidwright.router.SATRouter
 
updateStatus - Variable in class com.xilinx.rapidwright.examples.tilebrowser.PartTileBrowserScene
 
updateStatus - Variable in class com.xilinx.rapidwright.gui.TileScene
The signal which updates the status bar
updateTile - Variable in class com.xilinx.rapidwright.device.browser.DeviceBrowserScene
 
updateUserStateBits(Cell...) - Method in class com.xilinx.rapidwright.bitstream.ConfigArray
Updates the users state bits of the provided cells within this config array.
uramTypes - Static variable in class com.xilinx.rapidwright.util.Utils
 
USE_LSF_IF_AVAILABLE - Static variable in class com.xilinx.rapidwright.util.JobQueue
 
USED_NET - Static variable in class com.xilinx.rapidwright.design.Net
Name of the global physical USED net
USED_PBLOCK_FILE_SUFFIX - Static variable in class com.xilinx.rapidwright.ipi.BlockCreator
 
useGCToTrackMemory(boolean) - Method in class com.xilinx.rapidwright.tests.CodePerfTracker
By setting this flag, more accurate memory usage numbers can be captured.
useRnodesWithMultiDrivers() - Method in class com.xilinx.rapidwright.rwroute.Connection
Checks if a connection is routed through any rnodes that have multiple drivers.
useUnsafeStreams() - Static method in class com.xilinx.rapidwright.util.FileTools
Checks if Kryo Unsafe Streams can/should be used.
usingWeightsOnNodes() - Method in class com.xilinx.rapidwright.router.SATRouter
 
UTILIZATION_REPORT_OPT - Static variable in class com.xilinx.rapidwright.design.blocks.PBlockGenerator
 
UtilizationType - Enum in com.xilinx.rapidwright.design.blocks
Different categories of fabric utilization.
Utils - Class in com.xilinx.rapidwright.util
This is a helper class for creating PrimitiveTypes and TileTypes as well as helping to categorize TileTypes.
Utils() - Constructor for class com.xilinx.rapidwright.util.Utils
 

V

VALIDATE_MACROS_PLACED_FULLY - Static variable in class com.xilinx.rapidwright.interchange.PhysNetlistReader
 
validateMD5OfDownloadedFile(String, String) - Static method in class com.xilinx.rapidwright.util.Installer
Validates an already downloaded RapidWright release file using the MD5 hash
ValidPlacementPolygon - Class in com.xilinx.rapidwright.placer.handplacer
Created on: Sep 16, 2015
ValidPlacementPolygon(QPolygonF, QPointF) - Constructor for class com.xilinx.rapidwright.placer.handplacer.ValidPlacementPolygon
 
valueOf(String) - Static method in enum com.xilinx.rapidwright.bitstream.BlockType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.bitstream.CMDCode
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.bitstream.OpCode
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.bitstream.PacketType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.bitstream.RegisterType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.design.blocks.UtilizationType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.design.compare.DesignDiffType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.design.ConstraintGroup
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.design.NetType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.design.noc.ChannelType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.design.noc.CommunicationType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.design.noc.ComponentType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.design.noc.ProtocolType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.design.noc.TrafficClass
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.design.PinType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.design.PortType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.design.Unisim
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.design.VivadoPropType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.device.BELClass
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.device.BELPin.Direction
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.device.FamilyType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.device.IntentCode
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.device.IOBankType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.device.IOStandard
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.device.PIPType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.device.Series
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.device.SitePIPStatus
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.device.SiteTypeEnum
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.device.TileTypeEnum
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.edif.compare.EDIFDiffType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.edif.EDIFChangeType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.edif.EDIFDirection
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.edif.EDIFValueType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.gui.GUIShapeState
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.BELCategory
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.ClockEdge
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.CellPinValue
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.ConstantType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterFormat
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelayType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.WireCategory
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Direction
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.NetType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysCellType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Which
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.References.ImplementationType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.interchange.References.ReferenceType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.rwroute.NodeStatus
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.rwroute.RouteNodeType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.timing.delayestimator.InterconnectInfo.Direction
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.timing.delayestimator.InterconnectInfo.NodeGroupType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.timing.delayestimator.InterconnectInfo.Orientation
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.timing.GroupDelayType
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.timing.GroupDistance
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.timing.GroupWireDirection
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.timing.TimingDirection
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.util.JobState
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.util.PlacerDirective
Returns the enum constant of this type with the specified name.
valueOf(String) - Static method in enum com.xilinx.rapidwright.util.RouterDirective
Returns the enum constant of this type with the specified name.
values - Static variable in enum com.xilinx.rapidwright.bitstream.BlockType
A static final (cached) copy of values()
values() - Static method in enum com.xilinx.rapidwright.bitstream.BlockType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.bitstream.CMDCode
Returns an array containing the constants of this enum type, in the order they are declared.
values - Static variable in enum com.xilinx.rapidwright.bitstream.OpCode
A static final (cached) copy of values()
values() - Static method in enum com.xilinx.rapidwright.bitstream.OpCode
Returns an array containing the constants of this enum type, in the order they are declared.
values - Static variable in enum com.xilinx.rapidwright.bitstream.PacketType
A static final (cached) copy of values()
values() - Static method in enum com.xilinx.rapidwright.bitstream.PacketType
Returns an array containing the constants of this enum type, in the order they are declared.
values - Static variable in enum com.xilinx.rapidwright.bitstream.RegisterType
A static final (cached) copy of values()
values() - Static method in enum com.xilinx.rapidwright.bitstream.RegisterType
Returns an array containing the constants of this enum type, in the order they are declared.
values - Static variable in enum com.xilinx.rapidwright.design.blocks.UtilizationType
 
values() - Static method in enum com.xilinx.rapidwright.design.blocks.UtilizationType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.design.compare.DesignDiffType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.design.ConstraintGroup
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.design.NetType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.design.noc.ChannelType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.design.noc.CommunicationType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.design.noc.ComponentType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.design.noc.ProtocolType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.design.noc.TrafficClass
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.design.PinType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.design.PortType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.design.Unisim
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.design.VivadoPropType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.device.BELClass
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.device.BELPin.Direction
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.device.FamilyType
Returns an array containing the constants of this enum type, in the order they are declared.
values - Static variable in enum com.xilinx.rapidwright.device.IntentCode
 
values() - Static method in enum com.xilinx.rapidwright.device.IntentCode
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.device.IOBankType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.device.IOStandard
Returns an array containing the constants of this enum type, in the order they are declared.
values - Static variable in enum com.xilinx.rapidwright.device.PIPType
 
values() - Static method in enum com.xilinx.rapidwright.device.PIPType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.device.Series
Returns an array containing the constants of this enum type, in the order they are declared.
values - Static variable in enum com.xilinx.rapidwright.device.SitePIPStatus
 
values() - Static method in enum com.xilinx.rapidwright.device.SitePIPStatus
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.device.SiteTypeEnum
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.device.TileTypeEnum
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.edif.compare.EDIFDiffType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.edif.EDIFChangeType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.edif.EDIFDirection
Returns an array containing the constants of this enum type, in the order they are declared.
values - Static variable in enum com.xilinx.rapidwright.edif.EDIFValueType
 
values() - Static method in enum com.xilinx.rapidwright.edif.EDIFValueType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.gui.GUIShapeState
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.BELCategory
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.ClockEdge
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.CellPinValue
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.ConstantType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterFormat
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.PinsDelayType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.DeviceResources.Device.WireCategory
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Direction
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.NetType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysCellType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Which
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.References.ImplementationType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.interchange.References.ReferenceType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.rwroute.NodeStatus
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.rwroute.RouteNodeType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.timing.delayestimator.InterconnectInfo.Direction
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.timing.delayestimator.InterconnectInfo.NodeGroupType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.timing.delayestimator.InterconnectInfo.Orientation
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.timing.GroupDelayType
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.timing.GroupDistance
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.timing.GroupWireDirection
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.timing.TimingDirection
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.util.JobState
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.util.PlacerDirective
Returns an array containing the constants of this enum type, in the order they are declared.
values() - Static method in enum com.xilinx.rapidwright.util.RouterDirective
Returns an array containing the constants of this enum type, in the order they are declared.
VCC_NET - Static variable in class com.xilinx.rapidwright.design.Net
Name of the global physical VCC net
VCC_WIRE_NAME - Static variable in class com.xilinx.rapidwright.design.Net
Name of the common VCC source wire name
VccNetName() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName
 
verbose - Variable in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer
 
verbose - Variable in class com.xilinx.rapidwright.placer.blockplacer.BlockPlacer2
 
verbose - Variable in class com.xilinx.rapidwright.timing.TimingGraph
 
verbose - Variable in class com.xilinx.rapidwright.timing.TimingModel
 
verbose - Static variable in class com.xilinx.rapidwright.util.Installer
 
VERBOSE_PHYSICAL_NET_ROUTING - Static variable in class com.xilinx.rapidwright.interchange.PhysNetlistWriter
The Interchange format allows for all physical routing resources to be specified, e.g.
verifyCellBelPinMaps(Map<Map.Entry<SiteTypeEnum, String>, Set<Map.Entry<String, String>>>, Map<Map.Entry<SiteTypeEnum, Map.Entry<String, String>>, Set<Map.Entry<String, String>>>) - Method in class com.xilinx.rapidwright.interchange.EnumerateCellBelMapping
 
verifyConstants(StringEnumerator, Device, Design, Map<SiteTypeEnum, Site>, DeviceResources.Device.Constants.Reader, Map<TileTypeEnum, DeviceResources.Device.TileType.Reader>) - Static method in class com.xilinx.rapidwright.interchange.ConstantDefinitions
 
verifyDeviceResources(String, String) - Static method in class com.xilinx.rapidwright.interchange.DeviceResourcesVerifier
 
VERILOG_COMMENT - Static variable in class com.xilinx.rapidwright.design.RTLStubGenerator
 
VERSION - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
VHDL_COMMENT - Static variable in class com.xilinx.rapidwright.design.RTLStubGenerator
 
VIEW - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
VIEWREF - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
VIEWTYPE - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 
VivadoProp - Class in com.xilinx.rapidwright.design
Captures unisim property values with associated Vivado types.
VivadoProp(String, String) - Constructor for class com.xilinx.rapidwright.design.VivadoProp
 
VivadoProp(VivadoPropType, String) - Constructor for class com.xilinx.rapidwright.design.VivadoProp
 
VivadoPropType - Enum in com.xilinx.rapidwright.design
Enumerates the Type of properties found when querying Vivado objects with report_property.
VivadoTools - Class in com.xilinx.rapidwright.util
Utility methods to provide access to vivado and parse logs
VivadoTools() - Constructor for class com.xilinx.rapidwright.util.VivadoTools
 

W

waitOnAnyKey() - Static method in class com.xilinx.rapidwright.util.MessageGenerator
Prompts the user to press any key to continue execution.
waitOnAnyKeySilent() - Static method in class com.xilinx.rapidwright.util.MessageGenerator
Pauses execution until a key is pressed.
wheelEvent(QWheelEvent) - Method in class com.xilinx.rapidwright.examples.tilebrowser.PartTileBrowserView
 
wheelEvent(QWheelEvent) - Method in class com.xilinx.rapidwright.gui.TileView
This method is called when the mouse wheel or scroll is used.
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.BEL.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CellPinInversionParameter.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.GndNetName.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constants.VccNetName.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.BELLocation.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.CellConstraint.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.ConstraintLocation.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.Tag.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagPair.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Constraints.TagStates.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Fast.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModel.Slow.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Max.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Min.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.CornerModelValues.Typ.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.LutDefinitions.LutCell.Equation.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Bel.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.Package.PackagePin.Site.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.ParameterMapRule.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PinDelay.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PIP.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.PrimToMacroExpansion.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.DeviceResources.Device.SitePin.Model.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.Port.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.BusIdx.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PortInstance.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.LogicalNetlist.Netlist.PropertyMap.Entry.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysPIP.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PhysSitePIP.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.PinMapping.Reader
 
which() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Builder
 
which() - Method in class com.xilinx.rapidwright.interchange.PhysicalNetlist.PhysNetlist.RouteBranch.RouteSegment.Reader
 
WidgetMaker - Class in com.xilinx.rapidwright.gui
 
WidgetMaker() - Constructor for class com.xilinx.rapidwright.gui.WidgetMaker
 
willOverUse(NetWrapper) - Method in class com.xilinx.rapidwright.rwroute.RouteNode
 
Wire - Class in com.xilinx.rapidwright.device
This class represents the device object called a wire in Vivado.
Wire(Tile, int) - Constructor for class com.xilinx.rapidwright.device.Wire
Creates an instance of a wire.
Wire(Tile, String) - Constructor for class com.xilinx.rapidwright.device.Wire
Creates an instance of a wire -- on device load, no wire objects exist.
Wire(Device, String, String) - Constructor for class com.xilinx.rapidwright.device.Wire
Creates an instance of a wire -- on device load, no wire objects exist.
Wire(Device, String) - Constructor for class com.xilinx.rapidwright.device.Wire
Creates an instance of a wire -- on device load, no wire objects exist.
Wire() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.Wire
 
wire - Variable in class com.xilinx.rapidwright.router.RouteNode
This is the enumerated int that represents the name of the wire specified
WIRE_MASK - Static variable in class com.xilinx.rapidwright.design.PartitionPin
 
WIRE_WIDTH - Static variable in class com.xilinx.rapidwright.design.PartitionPin
 
WireConnectionLine - Class in com.xilinx.rapidwright.device.browser
This class is used with the DeviceBrowser to draw wire connections on the array of tiles.
WireConnectionLine(double, double, double, double, TileScene, Tile, int) - Constructor for class com.xilinx.rapidwright.device.browser.WireConnectionLine
Creates a new wire connection line.
WireConstantSources() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireConstantSources
 
wireDoubleClicked(QModelIndex) - Method in class com.xilinx.rapidwright.device.browser.DeviceBrowser
This method will draw all of the wire connections based on the wire given.
WireInterface - Interface in com.xilinx.rapidwright.device
An interface to enable methods to operate on the common methods of a Wire object as well as a Node object.
WireRef() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.WireRef
 
WireType() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.Device.WireType
 
WireType - Class in com.xilinx.rapidwright.interchange
 
WireType() - Constructor for class com.xilinx.rapidwright.interchange.WireType
 
WireTypeRef() - Constructor for class com.xilinx.rapidwright.interchange.DeviceResources.WireTypeRef
 
worstSlack - Variable in class com.xilinx.rapidwright.util.performance_evaluation.TimingResults
 
write(int) - Method in class com.xilinx.rapidwright.util.CountingOutputStream
 
write(byte[]) - Method in class com.xilinx.rapidwright.util.CountingOutputStream
 
write(byte[], int, int) - Method in class com.xilinx.rapidwright.util.CountingOutputStream
 
write(int) - Method in class com.xilinx.rapidwright.util.NoCloseOutputStream
 
write(byte[]) - Method in class com.xilinx.rapidwright.util.NoCloseOutputStream
 
write(byte[], int, int) - Method in class com.xilinx.rapidwright.util.NoCloseOutputStream
 
write(int) - Method in class com.xilinx.rapidwright.util.NullOutputStream
 
write(byte[]) - Method in class com.xilinx.rapidwright.util.NullOutputStream
 
write(byte[], int, int) - Method in class com.xilinx.rapidwright.util.NullOutputStream
 
write(ParallelDCPInput) - Method in class com.xilinx.rapidwright.util.ParallelDCPOutput
 
writeAllSiteTypesToBuilder(Design, Device, DeviceResources.Device.Builder) - Static method in class com.xilinx.rapidwright.interchange.DeviceResourcesWriter
 
writeAllStringsToBuilder(DeviceResources.Device.Builder) - Static method in class com.xilinx.rapidwright.interchange.DeviceResourcesWriter
 
writeAllTilesToBuilder(Device, DeviceResources.Device.Builder, Map<TileTypeEnum, Integer>) - Static method in class com.xilinx.rapidwright.interchange.DeviceResourcesWriter
 
writeAllTileTypesToBuilder(Design, Device, DeviceResources.Device.Builder) - Static method in class com.xilinx.rapidwright.interchange.DeviceResourcesWriter
 
writeAllWiresAndNodesToBuilder(Device, DeviceResources.Device.Builder, boolean) - Static method in class com.xilinx.rapidwright.interchange.DeviceResourcesWriter
 
writeBinaryEDIF(String, EDIFNetlist) - Static method in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
Writes the provided netlist as a binary EDIF file (.bedf).
writeBinaryEDIF(Path, EDIFNetlist) - Static method in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
Writes the provided netlist as a binary EDIF file (.bedf).
writeBinaryEDIF(OutputStream, EDIFNetlist) - Static method in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
 
writeBinaryEDIF(Path) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
writeBinaryEDIF(OutputStream) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
writeBinaryEDIF(String) - Method in class com.xilinx.rapidwright.edif.EDIFNetlist
 
writeBitstream(String) - Method in class com.xilinx.rapidwright.bitstream.Bitstream
Writes a bitstream file (*.bit) from the current state of this bitstream.
writeBitstream(Path, Path, boolean) - Static method in class com.xilinx.rapidwright.util.VivadoTools
Run Vivado's `write_bitstream` on the provided DCP file to generate a bit file at the specified location.
writeBitstream(Design, Path) - Static method in class com.xilinx.rapidwright.util.VivadoTools
Run Vivado's `write_bitstream` on the provided design to generate a bit file at the specified location.
writeCheckpoint(Path) - Method in class com.xilinx.rapidwright.design.Design
Writes a design checkpoint file from the current state of the design.
writeCheckpoint(String) - Method in class com.xilinx.rapidwright.design.Design
Writes a design checkpoint file from the current state of the design.
writeCheckpoint(Path, CodePerfTracker) - Method in class com.xilinx.rapidwright.design.Design
Writes a design checkpoint file from the current state of the design.
writeCheckpoint(String, CodePerfTracker) - Method in class com.xilinx.rapidwright.design.Design
Writes a design checkpoint file from the current state of the design.
writeConstants(StringEnumerator, Device, DeviceResources.Device.Constants.Builder, Design, Map<SiteTypeEnum, Site>, Map<TileTypeEnum, DeviceResources.Device.TileType.Builder>) - Static method in class com.xilinx.rapidwright.interchange.ConstantDefinitions
 
writeDesignHierarchy(Design, Output) - Static method in class com.xilinx.rapidwright.design.ModuleCache
 
writeDesignToInterchange(Design, String) - Static method in class com.xilinx.rapidwright.interchange.Interchange
Writes out a set of Interchange files for the given design.
writeDeviceResourcesFile(String, Device, CodePerfTracker, String) - Static method in class com.xilinx.rapidwright.interchange.DeviceResourcesWriter
 
writeDeviceResourcesFile(String, Device, CodePerfTracker, String, boolean) - Static method in class com.xilinx.rapidwright.interchange.DeviceResourcesWriter
 
writeEDIFCell(EDIFCell, Output, Map<String, Integer>) - Static method in class com.xilinx.rapidwright.edif.BinaryEDIFWriter
Writes the provided EDIFCell to Kryo-based output stream.
writeEDIFExport(OutputStream, byte[], EDIFWriteLegalNameCache<?>) - Method in class com.xilinx.rapidwright.edif.EDIFPortInst
 
writeEDIFFile(Path, EDIFNetlist, String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
writeEDIFFile(String, EDIFNetlist, String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
writeEDIFFile(OutputStream, EDIFNetlist, String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
writeEDIFFile(OutputStream, Path, EDIFNetlist, String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Write out EDIF to a stream.
writeEDIFFile(OutputStream, String, EDIFNetlist, String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
Write out EDIF to a stream.
writeEDIFString(OutputStream) - Method in class com.xilinx.rapidwright.edif.EDIFPropertyValue
 
writeHashMap(Output, HashMap<String, Integer>) - Static method in class com.xilinx.rapidwright.util.FileTools
 
writeImplGuide(String) - Method in class com.xilinx.rapidwright.design.blocks.ImplGuide
 
writeIntArray(Output, int[]) - Static method in class com.xilinx.rapidwright.util.FileTools
 
writeInterchangeFile(String, MessageBuilder) - Static method in class com.xilinx.rapidwright.interchange.Interchange
Common method to write out Interchange files
writeLinesToTextFile(List<String>, String) - Static method in class com.xilinx.rapidwright.util.FileTools
This is a simple method that writes the elements of an ArrayList of Strings into lines in the text file fileName.
writeList(List<PlacementT>, Path, int, PlacementT, BlockPlacer2<?, ?, PlacementT, ?>) - Static method in class com.xilinx.rapidwright.placer.blockplacer.SortedValidPlacementCache
 
writeLogNetlist(EDIFNetlist, String) - Static method in class com.xilinx.rapidwright.interchange.LogNetlistWriter
Writes a RapidWright netlist to a Cap'n Proto serialized file.
writeLogNetlist(EDIFNetlist, String, boolean) - Static method in class com.xilinx.rapidwright.interchange.LogNetlistWriter
Writes a RapidWright netlist to a Cap'n Proto serialized file.
writeMapping(StringEnumerator, DeviceResources.Device.CellBelMapping.Builder) - Method in class com.xilinx.rapidwright.interchange.EnumerateCellBelMapping
 
writeObjectToKryoFile(Path, Object) - Static method in class com.xilinx.rapidwright.util.FileTools
 
writeObjectToKryoFile(String, Object) - Static method in class com.xilinx.rapidwright.util.FileTools
 
writeObjectToKryoFile(Path, Object, boolean) - Static method in class com.xilinx.rapidwright.util.FileTools
 
writeObjectToKryoFile(String, Object, boolean) - Static method in class com.xilinx.rapidwright.util.FileTools
 
writePhysNetlist(Design, String) - Static method in class com.xilinx.rapidwright.interchange.PhysNetlistWriter
 
writePlacement(PhysicalNetlist.PhysNetlist.Builder, Design, StringEnumerator, Collection<SiteInst>) - Static method in class com.xilinx.rapidwright.interchange.PhysNetlistWriter
 
writeRouteBranch(PhysicalNetlist.PhysNetlist.RouteBranch.Builder, RouteBranchNode, StringEnumerator) - Static method in class com.xilinx.rapidwright.interchange.PhysNetlistWriter
 
writeShortArray(Output, short[]) - Static method in class com.xilinx.rapidwright.util.FileTools
 
writeString(DataOutputStream, String) - Static method in class com.xilinx.rapidwright.util.FileTools
 
writeStringArray(Output, String[]) - Static method in class com.xilinx.rapidwright.util.FileTools
 
writeStrings(LogicalNetlist.Netlist.Builder, List<String>) - Static method in class com.xilinx.rapidwright.interchange.LogNetlistWriter
Writes list of String objects to the Cap'n Proto message netlist
writeStrings(PhysicalNetlist.PhysNetlist.Builder, List<String>) - Static method in class com.xilinx.rapidwright.interchange.PhysNetlistWriter
Writes list of String objects to the Cap'n Proto message physical netlist
writeStringToTextFile(String, String) - Static method in class com.xilinx.rapidwright.util.FileTools
This is a simple method that writes a String to a file and adds a new line.
writeTclLoadScriptForPartialEncryptedDesigns(EDIFNetlist, Path, String) - Static method in class com.xilinx.rapidwright.edif.EDIFTools
 
writeTiedWires(StringEnumerator, Device, DeviceResources.Device.Constants.Builder, Map<TileTypeEnum, DeviceResources.Device.TileType.Builder>) - Static method in class com.xilinx.rapidwright.interchange.ConstantDefinitions
 
writeVerilogStub(Design, String) - Static method in class com.xilinx.rapidwright.design.DesignTools
Creates a verilog wrapper file for this design by examining the top level netlist.
writeWireTypes(StringEnumerator, DeviceResources.Device.Builder) - Static method in class com.xilinx.rapidwright.interchange.DeviceResourcesWriter
 
writeXDC(List<String>, OutputStream) - Static method in class com.xilinx.rapidwright.ipi.XDCParser
 
WRITTEN - Static variable in class com.xilinx.rapidwright.edif.AbstractEDIFParserWorker
 

X

x - Variable in class com.xilinx.rapidwright.placer.blockplacer.Point
 
XDCParser - Class in com.xilinx.rapidwright.ipi
Parses an XDC file for package constraints only.
XDCParser() - Constructor for class com.xilinx.rapidwright.ipi.XDCParser
 
xdlFilter - Static variable in class com.xilinx.rapidwright.gui.FileFilters
Xilinx Design Language File Filter
XOR - Static variable in class com.xilinx.rapidwright.design.tools.LUTEquationEvaluator
 
XOR2 - Static variable in class com.xilinx.rapidwright.design.tools.LUTEquationEvaluator
 
xpnFilter - Static variable in class com.xilinx.rapidwright.gui.FileFilters
Xilinx Design Language File Filter

Y

y - Variable in class com.xilinx.rapidwright.placer.blockplacer.Point
 

Z

Z_NET - Static variable in class com.xilinx.rapidwright.design.Net
Name of the global dummy route (Z) net
zip(V[], W[]) - Static method in class com.xilinx.rapidwright.util.Pair
Combines two arrays of equal length into an array of Pair objects for convenience in loop or parameter passing.
zoomIn() - Method in class com.xilinx.rapidwright.gui.TileView
 
zoomOut() - Method in class com.xilinx.rapidwright.gui.TileView
 
zynqPSTypes - Static variable in class com.xilinx.rapidwright.bitstream.BlockSubType
 

_

_placement_failed_anchor_error - Static variable in class com.xilinx.rapidwright.design.Module
 
_placement_failed_anchor_null - Static variable in class com.xilinx.rapidwright.design.Module
 
_placement_failed_corresponding_site - Static variable in class com.xilinx.rapidwright.design.Module
 
_placement_failed_corresponding_tile - Static variable in class com.xilinx.rapidwright.design.Module
 
_placement_failed_debug - Static variable in class com.xilinx.rapidwright.design.Module
 
_placement_failed_instance_at_site - Static variable in class com.xilinx.rapidwright.design.Module
 
_placement_failed_pip_mismatch - Static variable in class com.xilinx.rapidwright.design.Module
 
A B C D E F G H I J K L M N O P Q R S T U V W X Y Z _